【UVM】一种以default seq 启动vseq的common方法

1. 一般的使用方式:

       1.1 启动时例化:

uvm_config_db#(uvm_object_wrapper)::set(this,"env.my_agt.sqr.main_phase","default_sequence",my_sequence::type_id::get());

       1.2 先例化再启动:

my_sequence my_seq;
my_seq = my_sequence::type_id::create("my_seq",this);
uvm_config_db#(uvm_sequence_base)::set(this,"env.my_agt.sqr.main_phase","default_sequence",my_seq);

2. 我们拓展一下,把sequence name通过value$pluargs传进来,当然这个sequence name对应的sequence必须是要注册到factory中的才行:

class my_test extend uvm_test;
     function void build_phase(uvm_phase phase);
         uvm_factory  factory;
         uvm_sequence_base default_seq;
         string default_seq_name;
         if(!$values$pluargs("default_sequence=%0s",default_seq_name)) begin
            `uvm_fatal("DEFAULT_SEQ","default_seq not set")
         end
         factory= uvm_factory::get();
         assert($cast(default_seq,factory.create_object_by_name(default_seq_name)));
         uvm_config_db#(uvm_sequence_base)::set(this,"env.my_agt.sqr.main_phase","default_sequence"default_seq);
     endfunction
endclass

 好处: 不用针对每一个vseq写一个对应的test,只需一个test就能启动所有vseq.

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值