在uvm验证环境中,使用$value$plusargs向验证环境传递参数,从而实现不同sequence的调用

每一个验证环境都有很多不同的验证场景,需要使用多个sequence,本文介绍通过$value$plusargs向环境中传递参数,实现不同sequencec的调用。

在环境的test中,在connect_phase 中,通过调用如下的函数,即可实现:

 function void ConfigVseq();
       string vseq_name = "";
       $value$plusargs("UVM_VSEQ_NAME=%s",vseq_name);
       `uvm_info("ConfigVseq", $sformatf("vseq_name=%s", vseq_name), UVM_NONE);
       uvm_config_db#(uvm_sequence_base)::set(this
	   			                         ,"vseqr.main_phase"
	   			                         ,"default_sequence"
	   			                         ,GetDefSeq(vseq_name));
    endfunction // ConfigVseq

    function uvm_sequence_base GetDefSeq(string name);
       $cast(GetDefSeq,create_object(name));
       if(!GetDefSeq) begin
          `uvm_fatal("",$psprintf("Can't find seq name : %s",name));
       end
    endfunction // GetDefSeq

在这里,GetDefSeq(string name)函数根据$value$plusargs传递的参数,创建sequence实例,再将该sequence set给virtual sequencer,即可启动test bench开始仿真

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值