IRUN无法识别$fsdbDumpfile函数的解决办法

使用的工具:Irun+Verdi

遇到的问题:

:User Defined system task or function ($fsdbDumpfile) registered during elaboration and used within the simulation has not been registered during simulation.

原因:irun未能正确加载debpil.so导致

解决办法:

1、在.cshrc中设置正确LD_LIBRARY_PATH

setenv LD_LIBRARY_PATH /tools/synopsys/syn2016/Verdi3_L-2016.06_1/share/PLI/IUS/linux64/boot:/tools/synopsys/syn2016/Verdi3_L2016.06_1/share/PLI/nTX_ex/link/boot

2、在irun脚本中设置运行参数

irun -access +rwc -loadpli1 debpli:novas_pli_boot -f ./file.f \

设置完成后重新正常运行即可。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值