生成FSDB波形

本文档详细介绍了在Synopsys VCS、Cadence irun和Modelsim中如何启用FSDB波形记录功能,包括添加系统函数、设置编译选项、PLI库路径配置及示例脚本的使用。
摘要由CSDN通过智能技术生成

3.3.1 生成FSDB波形

  • 在testbench中添加FSDB系统函数
`timescale 1ns/1ns
module test; 
initial 
begin 
$fsdbDumpfile("test.fsdb"); 
$fsdbDumpvars(0,test); 
end
...
endmodule
  • 在TCL文件中调用FSDB系统函数
%> irun top.v -access +r +tcl+cmd.tcl
call fsdbDumpfile "my.fsdb"
call fsdbDumpvars top
run 10000ns
exit
% simv -ucli -i cmd.tcl
fsdbDumpfile test.fsdb
fsdbDumpvars 0 test
run 1000ns
quit

3.3.2 Synopsys中使能FSDB dump

  • 使用以下编译选项使能FSDB dump功能:
    -debug_access+pp
    -fsdb
    -P $VERDI_LIB/novas.tab $VERDI_LIB/pli.a

Note: Usage of -fsdb is needed/recommended only when you use old -debug_pp, -debug, or -debug_all.
举例如下:

%> vcs -debug_access [comp_options]
%&g
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值