3.3.1 生成FSDB波形
- 在testbench中添加FSDB系统函数
`timescale 1ns/1ns
module test;
initial
begin
$fsdbDumpfile("test.fsdb");
$fsdbDumpvars(0,test);
end
...
endmodule
- 在TCL文件中调用FSDB系统函数
%> irun top.v -access +r +tcl+cmd.tcl
call fsdbDumpfile "my.fsdb"
call fsdbDumpvars top
run 10000ns
exit
% simv -ucli -i cmd.tcl
fsdbDumpfile test.fsdb
fsdbDumpvars 0 test
run 1000ns
quit
3.3.2 Synopsys中使能FSDB dump
- 使用以下编译选项使能FSDB dump功能:
-debug_access+pp
-fsdb
-P $VERDI_LIB/novas.tab $VERDI_LIB/pli.a
Note: Usage of -fsdb is needed/recommended only when you use old -debug_pp, -debug, or -debug_all.
举例如下:
%> vcs -debug_access [comp_options]
%&g