Verilog 二选一多路选择器 Modelsim设计。

本文介绍了如何使用Verilog设计一个二选一多路选择器,并通过Modelsim进行仿真验证。在设计中,当sl信号为高时,输出b;sl为低时,输出a。内容包括逻辑图、Verilog代码和仿真结果。
摘要由CSDN通过智能技术生成

一个简单的二选一多路选择器

逻辑图

 

Verilog源程序

module Mux_Two
(
    input   a,     //Data
    input   b,     //Data 
    input   sl,    //High: b  ;Low: a
    
    output reg out  
);
always@(sl or a 
  • 5
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值