FPGA的verilog关于多路选择器(2选1)的设计

本文介绍了一种使用Verilog设计2选1多路选择器的方法,该设计在FPGA上实现。通过按键控制输入信号in_1和in_2,并利用另一个按键控制选择信号sel,以决定输出是in_1还是in_2。设计中存在一些未明确的边界条件,如同时按下多个按键的情况。通过仿真验证了设计的正确性。
摘要由CSDN通过智能技术生成

​​​​​​​

  • 实验目的

实现一个多路选择器,进行“2选1”。也就是对2个信号进行1个特定条件的筛选,满足这个特定条件的话,其中一个的数值或信息就成为输出信号的数值或信息。不满足此条件的,就输出另一个信号的数值和信息。

  • 实验原理

  1. 理论原理

2个输入信号,选择其中一个作为输出。本项目当中,有输入信号in_1和in_2。当选通信号sel为高电平的时候,输出in_1;当选通信号sel为低电平时,输出in_2。

  1. 硬件原理

硬件使用: 按键3个,led灯1个。

原理设想: 通过硬件设施来具体表现。Key1的按下,代表in_1输入高电平,反之意味着输入低电平。Key2按下,代表in_2输入高电平,反之意味着输入低电平。同理,key3就代表了选通信号sel。按下是高电平,不按是低电平。输出信号就拿LED灯表示,通过亮灭来代表输出信号的情况。

按键:按下导通。

 LED:高电平导通,输入高电平,LED灯亮。

  • 系统架构设计

思路:抓住要实现的的功能,就是判断、筛选。那就只要一个筛选模块。对谁筛选呢?对输入信号当中的

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值