verilog 输入4个4位的二进制数,从小到大排序后

文章描述了两个Verilog模块,用于对输入数据进行排序。第一个模块使用并行输入并立即输出排序结果,而第二个模块则在每个时钟周期接收一个串行输入位,逐步完成整个序列的排序。排序过程通过自定义的任务函数实现。
摘要由CSDN通过智能技术生成

主要学习任务的使用

module mux2_1
(
    input   wire        sys_clk,
    input   wire        sys_rst_n,
    input   wire [3:0]  a, b, c, d,
    
    output reg [3:0]    ra, rb, rc, rd
);

reg [3:0] va, vb, vc, vd;

always@ (a or b or c or d)
    begin
        {va, vb, vc, vd} = {a, b, c, d};
        sort(va, vc);       //va和vc比较大小并互换
        sort(vb, vd); 
        sort(va, vb); 
        sort(vc, vd); 
        sort(vb, vc); 
        {ra, rb, rc, rd} = {va, vb, vc, vd};
    end


task sort;
    inout [3:0] x, y;
    reg [3:0] tmp;
    if(x > y)
        begin
            tmp = x;
            x = y;
            y = tmp;
        end
endtask



endmodule

仿真文件

`timescale  1ns/1ns
module tb_mux2_1();
reg sys_clk;
reg sys_rst_n;
reg [3:0] a, b, c, d;
wire [3:0] ra, rb, rc, rd;



initial
    begin
        sys_clk <= 1'b0;
        sys_rst_n <= 1'b0;
        #20
        sys_rst_n <= 1'b1;
    end

always #10 sys_clk <= ~sys_clk;

always @(posedge sys_clk)
    begin
        a <= {$random} % 15;
        b <= {$random} % 15;
        c <= {$random} % 15;
        d <= {$random} % 15;
    end
    
mux2_1 mux2_1_inst
(
    .sys_clk         (sys_clk),
    .sys_rst_n       (sys_rst_n),
    .a               (a),
    .b               (b),   
    .c               (c),
    .d               (d),        
                    
    .ra               (ra),
    .rb               (rb),   
    .rc               (rc),
    .rd               (rd) 
);

endmodule

2、按照时钟节拍串行输入的,要求用时钟触发任务的执行法,每个时钟周期完成一次数据


module mux2_1
(
    input   wire        sys_clk,
    input   wire        sys_rst_n,
    input   wire [7:0]  num,      //串行输入4个数据
    
    output reg [7:0]    ra, rb, rc, rd  //输出排序好的数
);

reg [7:0] va, vb, vc, vd;
reg [7:0] ta, tb, tc, td;
reg [3:0] state;

parameter IN0 = 4'b0001,
          IN1 = 4'b0010,
          IN2 = 4'b0011,
          IN3 = 4'b0100,
          T1 = 4'b0101,
          T2 = 4'b0110,
          T3 = 4'b0111,
          T4 = 4'b1000,
          T5 = 4'b1001;
          

always@ (posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        state <= IN0;
    else
        case (state) 
            IN0: begin
                va <= num;
                state <= IN1;
            end
            IN1: begin
                vb <= num;
                state <= IN2;
            end
            IN2: begin 
                vc <= num;
                state <= IN3;
            end
            IN3: begin
                vd <= num;
                state <= T1;
                {ta, tb, tc, td} <= {va, vb, vc, vd};
            end
            T1: begin
                sort(va, vc);
                state <= T2;
            end
            T2: begin
                sort(vb, vd);
                state <= T3;
            end
            T3: begin
                sort(va, vb);
                state <= T4;
            end
            T4: begin
                sort(vc, vd);
                state <= T5;
            end
            T5: begin
                sort(tb, tc);
                {ra, rb, rc, rd} <= {va, vb, vc, vd};
                state <= IN0;
            end
            default:
                state <= IN0;
        endcase


task sort;
    inout [7:0] x, y;
    reg [7:0] tmp;
    if(x > y)
        begin
            tmp = x;
            x = y;
            y = tmp;
        end
endtask



endmodule

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eachanm

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值