Altium Designer 2020 多板系统设计 (一)

        先进的电子产品通常由多块PCB板组成,这些PCB板通过相互连接以创建完整的功能系统。从主板、前面板、LCD模块的设计,到带有插槽的复杂有源背板系统,都是作为一个多板设计的系统来实现的。

       为了将多个“子”PCB板从电气和物理上连接在一起,同时保持其引脚和网络连接的完整性,需要一个高水平的设计系统。Altium Designer以专用多板设计环境的形式支持集成系统级设计,该环境具有系统设计的逻辑(原理图)和物理(PCB)两个方面。

 

       在Altium Designer中,系统级多板设计由专用多板项目类型(.PrjMbd)及其基于原理图的逻辑设计文档(.MbsDoc)和基于物理PCB的文档(.MbaDoc)承载。文档类型将现有的AltignDesigner项目设计带入多板设计环境,在这些环境中,它们作为一个完整的系统来相互连接、编辑和检验。

从子PCB项目设计创建多板系统原理图设计的基本步骤是:

  1. 创建Altium Designer多板项目并将多板原理图文档添加到项目中。
  2. 在原理图中放置图形块(模块Modules),以逻辑方式表示子项目。
  3. 将每个模块链接到其相应的子项目。
  4. 将子项目连接数据导入到系统设计中。
  5. 在模块之间添加连接以创建逻辑系统设计。

多电路板原理图文档中逻辑系统设计的物理对应物是多电路板组装文档,其中填充了从多电路板项目导入的PCB数据。多板系统设计原理图中的每个PCB项目模块由匹配的多板组装文档中的物理PCB表示。

–有关创建和使用多板部件的信息,请参阅多板部件文档

为多电路板设计启用PCB项目
Altium Designer PCB项目意味着多电路板系统设计中的子电路板设计,将包含特定连接,如边缘连接器或集管插头/插座,这些连接将作为系统设计中其他PCB板的电气和物理接口。


这些连接及其相关的电气网络需要由一个多板原理图(逻辑)设计文档进行检测和处理,以在系统级设计中建立板间连接。此功能是通过PCB项目连接器的特定组件参数的存在启用的,该参数是一个以连接器为属性值的系统参数。


对于用于系统级互连的PCB项目设计中的每个连接器,选择连接器部件,然后在“属性”面板的“参数”选项卡下添加“特殊组件”参数。

多板设计项目

Altium Designer系统级设计是在多板项目中形成的,与任何其他项目类型一样,它是通过 文件»新建» 项目 创建的。“创建项目”对话框打开后;在“项目类型”区域的“多电路板”标题下选择一个选项。

多电路板项目中的核心设计元素是逻辑连接设计,它在多电路板原理图文档(.MbsDoc)中开发。从“项目”面板中,右键单击项目条目,然后从关联菜单中选择将新添加到项目»多板原理图,将此文件类型添加到多板项目中。

 

对于多电路板项目及其(打开的)示意图文档,右键单击它们在“项目”面板中的条目,然后选择文件»另存为选项以相应地重命名文档文件。

多板原理图设计


构成多板系统设计的PCB项目之间的连接是通过在原理图上放置有代表性的块(模块Modules),并使用虚拟连接和/或导线将其外部的连接器(入口Entries)连接在一起来建立的。
模块,代表子PCB项目设计,从放置»模块菜单或通过选择编辑器活动栏( Active Bar 中的模块按钮()放置在工作区中–见下文。

 

双击一个已放置的模块,并使用“属性”面板定义其元件号和标题,以及模块链接到的源PCB设计项目。使用与源关联,设置为本地或基于服务器的托管项目,并指定该项目中所需的板设计(装配体/板)。

根据整个系统设计的需要,将更多模块及其子项目链接添加到多板原理图设计中。

通过使用design»Import from Child Projects命令或design»Import from Selected Child Projects命令导入项目数据,模块将填充来自链接的PCB板项目的设计数据。最重要的是,如果使用了特殊参数 System:Connector,这将处理使用了该参数的子项目中的每个连接器的Pin和Net信息。

导入完成后,将自动为这些连接器在各自的模块块图形上的每个连接创建一个Entry模块。连接器Entry与子项目中连接器上的管脚和网络关联。

 

 

 

  • 13
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Altium Designer是一款流行的电子设计自动化(EDA)软件套件,具有丰富的功能和工具,可以用于FPGA(现场可编程逻辑门阵列)的最小系统设计。 FPGA的最小系统设计通常包含FPGA芯片、时钟电路、复位电路以及适当的电源。Altium Designer可以帮助设计师完成这些任务。 首先,使用Altium Designer可以很容易地选择并集成所需的FPGA芯片。软件提供了许多FPGA器件库,可以根据项目的需要选择最合适的器件。通过简单的拖放操作,可以将所选的FPGA芯片添加到设计中。 其次,Altium Designer还提供了可在设计中添加和配置的时钟电路模块。设计师可以轻松地定义时钟输入和输出,选择适当的时钟源,并设置时钟的频率和相位等参数。软件还提供了稳定的时钟信号生成和分配能力,确保FPGA系统的稳定性和可靠性。 此外,复位电路对于FPGA系统的正确启动和操作至关重要。Altium Designer提供了许多复位电路的设计工具,例如可定制的电源监测电路和复位发生器。设计师可以选择适当的复位电路,并根据需要进行配置,以确保系统在上电或复位过程中正确工作。 最后,在整个设计过程中,Altium Designer还提供了丰富的电源管理功能。设计师可以轻松地定义和配置所需的电源网络,并通过监测和优化电源分布,确保FPGA系统的电源稳定性和性能。 综上所述,Altium Designer具有强大的功能和工具,可以简化和加速FPGA最小系统的设计过程。设计师可以通过使用该软件选择适当的FPGA芯片、配置时钟电路、设计复位电路以及管理电源,从而开发出高性能、稳定可靠的FPGA系统。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值