Verilog系统任务$random

一、系统任务$random

$random 是Verilog提供的一个随机数生成系统任务,调用该任务后,将会返回一个32bit的integer类型的有符号的值。
其调用格式有3种:

$random;
$random();     // 等同第一种
$random(seed);

二、常用形式

// 产生的随机数范围为 -(MAX_NUM-1) ~ (MAX_NUM-1) 
num1 = $random() % MAX_NUM;
      
// 产生的随机数范围为 0 ~ (MAX_NUM-1)
num2 = {$random()} % MAX_NUM;

// 产生的随机数范围为 MIN_NUM ~ MAX_NUM,包含边界
num3 = MIN_NUM + {$random()} % (MAX_NUM-MIN_NUM+1);
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

暴风雨中的白杨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值