109,Verilog-2005标准篇:仿真时间系统函数(Simulation time system functions)

仿真时间系统函数提供了对当前模拟时间的访问,其包括三个函数:

$time  $stime  $realtime

-系统函数 $time 返回一个 64 位时间的整数,该时间按调用该函数的模块的时间刻度单位缩放。例如:

在本例中,仿真时间为 16 ns 时,set值为 0,仿真时间为 32 ns 时,set值为 1。$time 系统函数返回的时间值由以下步骤确定:

a) 仿真时间 16 ns 和 32 ns 按比例分别为 1.6 和 3.2,因为该模块的时间单位为 10 ns;因此,该模块报告的时间值是 10 ns 的倍数。

b) 1.6 四舍五入为 2,3.2 四舍五入为 3,因为 $time 系统函数返回的是整数。时间精度不会导致这些值四舍五入。

-系统函数 $stime 返回一个无符号整数,即 32 位时间,并按调用该函数的模块的时间刻度单位进行缩放。如果实际仿真时间不适合32位,则返回当前仿真时间的低32 位。

-$realtime 系统函数返回一个实数时间,与$time一样,它也是按调用该函数的模块的时间单位缩放的。例如:

在本例中,由于10 ns是模块的时间单位,因此set中的事件时间是10 ns的倍数。它们是实数,因为 $realtime 返回的是实数。

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

  • 8
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值