low power-upf-vcsnlp(三)

这篇博客详细介绍了如何使用UPF(统一电源格式)在Verilog和SystemVerilog中创建电源域,包括添加电源域、设置电源和地线、使用-elements和-exclude_elements选项以及定义多个电源域。文中提供了具体的UPF命令示例,并展示了Verdi工具的仿真结果,帮助理解低功耗设计中的电源管理。
摘要由CSDN通过智能技术生成

例子中dut和环境见上篇low power-upf-vcsnlp(二)

3.create_power_domain 命令

-elements  添加实例列表.  (elements和subdomains只能使用一个)   

-supply 指定电压域的supply_set_handle.

-available_supplies 设置在此电压域内的power cell可以使用的其他supply_set列表。

-update 如果电压域已被定义,可以加上此项来更新这个电压域的定义。

(1)添加power domain

对于上面的dut,可以定义一个power domain如下(写在test.upf中),下面代码中的-include_scope在当前版本(IEEE1801-2015)中是没有的,不用也可以,set_design_top用于设置power的顶层(set_design_top使用的是instance的层次路径,也可以在makefile vcs命令中加上 -power_top选项来指定,但是此选项后面跟的是module name。注意这两者同时只能使用一种方式[1]):

set_design_top top/dut_u

create_power_domain PD_TOP -include_scope

运行结果:

verdi结果中,在full power map窗口中可以看见只有一个电压域被定义,并且其power和ground都还没有定义:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值