革新科技Alteta USB-Blaster下载器安装步骤

本文详细介绍了如何安装INTEL(ALTERA)USB Blaster下载器驱动。当系统无法自动识别驱动时,可以通过手动更新,在设备管理器中找到USB Blaster设备,指定驱动程序路径并安装。革新科技的ALTERA USB-Blaster支持多种INTEL Altera FPGA/CPLD器件和配置芯片的编程、调试,具有高速JTAG功能,适用于各种电压目标板,并且在NIOS II IDE中支持FLASH和Quartus II编程,提供USB接口方便使用。
摘要由CSDN通过智能技术生成

INTEL(ALTERA)USB Blaster下载器驱动详细安装步骤如下:
用USB 数据线连接计算机USB 接口和USB Blaster下载器接口,计算机系统自动发现新的硬件,自动安装设备驱动,此时等待……
如果找不到驱动程序???继续下面的步骤:
我们打开计算机桌面,点击“计算机”,点击右键盘,点击“属性”,点击“设备管理器”,此时会发现USB-Blaster 设备是带问号警告状态,我们双击这个USB Blaster驱动。通过手动更新驱动程序,浏览计算机以查找驱动程序软件,搜索位置指向我们安装软件的目录,继续下一步,选择始终信任来自“delaware altera corporation“的软件,点击”安装“,稍等片刻,提示安装成功。这时候在设备管理器中看到成功安装 Alteta USB-Blaster驱动了。

革新科技ALTERA USB-Blaster FPGA下载/仿真/调试器:
在这里插入图片描述

北京革新创展科技有限公司USB-Blaster支持USB Blaster下载仿真调试,通过计算机的USB接口可对Altera的FPGA/CPLD以及配置芯片进行编程、调试等操作。
支持的INTEL Altera FPGA/CPLD器件如下:
Stratix II®、Stratix II GX、Stratix GX及Stratix系列
Cyclone IV III II及Cyclone系列
APEX II及APEX 20K系列<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值