临时笔记文件---约束和DFX

本文介绍了Xilinx FPGA/Vivado开发中关于IP核约束文件的详细内容,包括约束文件的优先级和使用,时序约束的管理与修改。此外,还讲解了DFX技术,动态分区的规划以及abstract shell的概念,强调了在设计流程中的一些关键步骤和优化策略,如智能设计运行和Block Design Container的应用。
摘要由CSDN通过智能技术生成

B站标题:【FPGA】Xilinx FPGA/Vivado 开发教程(中文,34讲全)

第28讲--IP核的约束文件

<IP name>.xdc:时钟约束及其它约束,不包括外部时钟

<IP name>_clocks.xdc:依赖外部时钟的约束

<IP name>_ooc.xdc:创建DCP或OOC模式时用到的xdc

<IP name>_board.xdc:板子约束

优先级:<IP name>.xdc ==> user.xdc(自定义约束) ==> <IP name>_clocks.xdc

使用report_compile_order -constraints命令,查看xdc的编译顺序;

dont_touch.xdc:创建DCP时防止port被优化,ooc时用到;

ip_name_in_context.xdc:该IP会输出时钟信号,或存在IO BUFFER;优先级在user.xdc之前;

第31讲

复位时序约束:reset_timing;会将当前active状态的约束文件清空,应该在新建的约束文件目录下使用该指令

在network1窗口报告时钟网络:report_clock_networks -name network1

查看时钟的约束:report_clocks

synthesis后的时序约束修改并不需要重新synthesis;(在design run 中的synthesis行,右键force up-to-date即可ÿ

  • 9
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值