应用quartus11.0及modelsim实现的PWM波形仿真(二)

本篇博客详细介绍了如何利用quartus11.0和modelsim进行FPGA项目的PWM波形仿真。首先在quartus中设置仿真工具为ModelSim和Verilog HDL,接着生成仿真文件并编写测试代码。通过创建HDL Design File从Block1.bdf转换为Block.v,并将Block.v设为顶层文件。最后在modelsim中进行RTL仿真,得到占空比为30%的PWM输出波形。该教程旨在为初学者提供一个清晰的FPGA仿真操作流程。
摘要由CSDN通过智能技术生成

接着(一)来写:


12.在编译完成之后,我们需要用modelsim对其进行仿真,首先,在导航栏中选定Cyclone Ⅲ,右键打开setting,在simulation中选择ModelSim,Verilog HDL,说明我的放纵软件时modelsim,仿真语言用verilog编写;


13.然后再工具栏选定Processing—Start—Start Test Bench Template Write,这一步是建立在上一步的基础,否则该操作不会生成仿真文件;


14.生成的仿真文件如下图,帮我们例化了接口,提供了一个模板,该文件默认存放于simulation文件夹下,默认名称为Block10.vt;


15.编写仿真文件如下图,就是给定输入,记住图中module之后的名称Block1_vlg_tst,之后有用;

  • 4
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值