应用quartus11.0及modelsim实现的PWM波形仿真(二)

接着(一)来写:


12.在编译完成之后,我们需要用modelsim对其进行仿真,首先,在导航栏中选定Cyclone Ⅲ,右键打开setting,在simulation中选择ModelSim,Verilog HDL,说明我的放纵软件时modelsim,仿真语言用verilog编写;


13.然后再工具栏选定Processing—Start—Start Test Bench Template Write,这一步是建立在上一步的基础,否则该操作不会生成仿真文件;


14.生成的仿真文件如下图,帮我们例化了接口,提供了一个模板,该文件默认存放于simulation文件夹下,默认名称为Block10.vt;


15.编写仿真文件如下图,就是给定输入,记住图中module之后的名称Block1_vlg_tst,之后有用;

  • 4
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Quartus II和ModelSim是常用的数字电路设计工具,可以用于实现线性反馈位移寄存器(LFSR)。 LFSR是一种特殊的寄存器,可以生成伪随机序列。它的输出是当前寄存器状态的某些位的线性组合。实现LFSR需要以下步骤: 1. 首先,在Quartus II中创建一个新的项目,并选择适当的FPGA器件。 2. 在项目中添加一个新的VHDL文件,用于描述LFSR的行为。 3. 在VHDL文件中定义一个包含寄存器和反馈逻辑的实体。 4. 在实体中定义一个寄存器变量,用于存储LFSR的当前状态。 5. 实现反馈逻辑,根据LFSR的特定规则更新寄存器状态。 6. 添加必要的输入和输出端口,以便与其他电路进行连接。 7. 在Quartus II中进行综合和布局布线,生成比特流文件(.bit)。 8. 使用ModelSim进行仿真,验证LFSR的功能和性能。 以下是一个简单的VHDL代码示例,实现一个4位LFSR: ```vhdl library ieee; use ieee.std_logic_1164.all; entity LFSR is port ( clk : in std_logic; reset : in std_logic; data_out : out std_logic_vector(3 downto 0) ); end entity LFSR; architecture Behavioral of LFSR is signal reg : std_logic_vector(3 downto 0); begin process(clk, reset) begin if reset = '1' then reg <= "0000"; elsif rising_edge(clk) then reg <= reg(2 downto 0) & (reg(3) xor reg(0)); end if; end process; data_out <= reg; end architecture Behavioral; ``` 在这个示例中,LFSR有一个时钟输入(clk)、复位输入(reset)和一个4位的输出(data_out)。在每个时钟上升沿,LFSR的状态会根据特定的反馈逻辑进行更新。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值