FPGA初学工程记录,应该是比较详细吧!嘻嘻

FPGA初学工程记录

啦啦啦QVQ,第一次写博客,关于FPGA初学的历程,记录下来以免忘记,同时和各位初学者一起进步交流啦!!!
首先学习FPGA最重要的就是——有一块自己的板子!初学者不用太好,我买的板子两百块左右,作为入门,altera公司的Cyclone IV EP4CE6E22C8N。
然后就是下载安装Quartus II软件和破解。这一步比较简单,大家网上搜一搜安装包和破解包一般都可以使用的,而且如果是某宝的板子一般卖家会打包附赠。安装过程就是一路next下去,然后破解,过程如下:
如果是64位我们就选x64位的破解器,32位就选x86的破解器,如果有不知道自己电脑是多少位的小可爱,动动手指,找到电脑的:设置->关于,里面就有啦!
就在这里!
然后进入破解器,点击应用,它可能提醒你未找到该文件,点击确定查找该文件,如果你安装quartus时,软件位置是默认,那么该文件一般在C:\altera\13.1\quartus\bin64目录下,如果没有就自己改一下路径哈~选中该后缀为dll的文件,点击打开,再点击保存。
这里的图片fai fai在网上找的啦,因为已经破解过了就懒得再去截图惹,如果有侵权的话告知一下faifai会撤掉的!
退出之后我们再到该路径下面找到license.bat文件,把里面的xxxxxxxxxxxx改为我们的NID号保存就行。NID号不知道的小可爱,动动手指,打开Quartus,tools->license setup ,最下面就有啦,只用其中一个复制过去就OK。
然后在license setup里面要再次选择一下license file的路径,点击OK,就应该成功惹!
OK之后我们就可以在Quartus II里面编写我们的工程了。编写工程之前fai fai建议大家用一个专用文件夹来保存自己的工程,每个工程再新建一个专用的工程文件夹。
首先打开软件,会出现一个新建工程的向导,我一般都直接用这个新建工程:
工程向导
当然你也可以不使用这个,直接在软件里新建工程:File->New->New Quartus II Project,然后会出现工程向导,或者File->new->New Project Wizard…也会出现工程向导。
然后就是新建工程:
工程向导出现是introduction,点击next,出现如下界面:
Page1
在这里我们可以选择工程存放的位置,一般都是自己建好的工程文件夹,工程的名称和顶层文件的名称,后面这两项最好是一个名称。
然后点击next,出现我们的Page2:
Page2
这里可以选择已经存在的文件添加到这个工程中,如果以后我们编辑了自己的一些模块器件复用的话,可以在这里添加进来。不过如果没有的话这一步就直接跳过了哦!
接下来,next,Page3:
Page3
在这里我们选择自己板子的参数,以便后面写好程序之后的调试和下载,之前说啦我的板子是Cyclone IV EP4CE6E22C8N,因此Family选择Cyclone IV E,Available devices选择对应的EP4CE6E22C8:
Page3
补充一下下,如果有的同学点进来发现没有器件可以选择,可能是没有破解成功或者器件库没有下载,前者的话就再破解一次,记住更改了license.dat文件之后进入软件的license setup中的license file路径要再重新选择一下,点击OK才行!(因为faifai之前也是这样,哭唧唧)
然后!next,来到Page4:
Page 4
这里一般不需要做什么更改啦,直接next来到Page 5:
Page 5
这里一般就是检查一下自己的选择有没有误,然后直接Finish!!我们的工程就建好啦!!!撒花!!!万岁!!!!完结!!!!
.
.
.
.
.
放X,完结是不可能完结的,OTZ!!!
.
.
.
建好工程之后,我们就要建立我们的代码文件了,这个呢就看大家学的什么语言就建立什么文件,fai fai 大二数电课上自学的verilog HDL,和C语言比较像,容易上手一些,不过现在发现VHDL也很好,就是好多代码都是大写的,我看起来比较头晕…
代码编写和软件使用我放到下一次博客里面啦,博客太长了看起来也头晕诶!
关于这块有什么不懂的也可以评论发问,虽然我并没有什么粉丝,可能也并没有人看(保持围笑.jpg)。
欢迎大家一起来讨论~~~我们下次再见惹!

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值