Verilog中While循环的次数限制问题

EDA版本

Quartus 18.1 Pro

代码及报错信息


Error (10119): Verilog HDL Loop Statement error at <location>: loop with non-constant loop condition must terminate within <number> iterations
非常量循环条件的循环必须在250次迭代内终止

解决方案

①修改编译器默认循环上限

在英特尔官网上有给出该错误的解决方案,即在工程的.qsf文件中添加
set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

在这里插入图片描述

此时循环次数上限修改为 300,实测最大循环上限为 5000,这是很多Verilog教材中没有提到的。

官网链接: Error (10119): Verilog HDL Loop Statement error at : loop with non-constant loop condition must terminate within iterations.

②不使用While循环,改写为状态机

鉴于以上限制,我后来将该部分代码修改为有限状态机,具体代码就不再贴出了

限制原因

此处不再像我们之前编写C代码一样自由,限制了最大循环上限,应当是从硬件实现角度的考虑

  • 5
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值