Quartus ii 13.1错误合集,持续更新

Error (10228): Verilog HDL error at test1.v(1): module "test1" cannot be declared more than once

此错误是我在仿真的时候遇到的,就是由于在测试代码那里加上了

所以出现了重复定义模块的错误,在有的仿真调试环境中并不需要此语句,而需要从调试环境的菜单中键入有关模块文件的路径和名称。

Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path.

解决方法:

Tools -> Options -> General -> EDA Tool Options

打开QUARTUS后,点击菜单栏的Tools,然后点击二级菜单Options...,在General子栏目里有个EDA Tool Options,点开之后,倒数第二或者倒数第四个(QUARTUS 13.0,其它类似)是MOELSIM-ALTERA 或MODELSIM,根据自安装的MODELSIM-Altera版本及在SIMULATION中设置的版本号进行添加文件夹目录即可,注意,不是添加EXE文件,而是添加该EXE文件所在的一级目录。例如,本人将MODELSIM-Altera安装在了E盘,则添加E:\altera\13.0\modelsim_ase\win32aloem\,OK。

注意:路径最后的这个“\”一定要加,否则还是会出错,如图:

 

Error:quartus安装好后选择完cyclone器件后available device栏没有器件可选择

这个错误是由于没有破解导致的,重新进行破解解决,添加正确的license文件,注意一定要将license中的XXXXX给替换掉,如下:

Error (10119): Verilog HDL Loop Statement error at compute.v(36): loop with non-constant loop condition must terminate within 250 iterations

这个错误的原因是index和operand的数据宽度是一样的,而在for循环中出现了判断条件index = operand,并且index在这之后还要再加1,因为会产生溢出,所以for循环就变成死循环了,所以会报错。

解决办法:将index的数据宽度加宽一位即定义reg【3:0】 index。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值