ISE中如何生成bit文件和bin文件

有两种方法可以得到bin文件
方法一、在 Generate Programming File中,对其参数进行如下设置,选中bit文件和bin文件,运行Generate Programming File,在工程目录下即可同时得到bit文件和bin文件。
在这里插入图片描述
在这里插入图片描述
方法二、利用ISE中自带的promgen.exe 将生成的bit文件转换为bin文件
(1)在 TCLShell界面中输入命令行然后回车即可在对应路径中得到相应的prm文件和bin文件
promgen -w -p bin -o F:/FPGA/fpga_ctrlu/new_run/output2/car_fpga.bin -u F:/FPGA/fpga_ctrlu/new_run/project/carnf_fpga_timing/fpga_ctrlu.bit
在这里插入图片描述
在这里插入图片描述

  • 4
    点赞
  • 40
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值