【SystemVerilog】virtual task 与 向下类型转换

virtual task

virtual function/task:
Systerm Verilog 中允许父类句柄指向子类对象(向上类型转换),那么子类相对父类扩展出来的方法,对父类句柄是不可见的。
假设子类和父类中都定义了同名的方法为 prints
那么 使用指向子类对象的父类句柄调用该prints方法,调用的实质是父类的prints方法
请看下例:

module test;

class dad;
	task prints;
		$display("IM DAD!");
	endtask
endclass

class son extends dad;
	task prints;
		$display("IM SON!");
	endtask
endclass

initial begin
	dad dad1;
	son son1;
	son1 = new();
	dad1 = son1;//父类句柄指向子类对象
	dad1.prints();
end

endmodule

结果如下:可以看到调用的是父类的方法。
在这里插入图片描述

当在定义方法前加上vritual时,systemverilog会优先按照对象来寻找所调用的方法
(不使用virtual,则优先按照句柄寻找所调用的方法,如上例)
请看下例

module test;

class dad;
	virtual task prints;
		$display("IM DAD!");
	endtask
endclass

class son extends dad;
	virtual task prints;
		$display("IM SON!");
	endtask
endclass

initial begin
	dad dad1;
	son son1;
	son1 = new();
	dad1 = son1;//父类句柄指向子类对象
	dad1.prints();
end

endmodule

结果如下:可以看到调用的是子类的方法。
在这里插入图片描述
注意:
在上面的例子中,我们制造了一个指向子类对象的父类句柄(向上类型转换)。
然后用“父类句柄.virtual方法”成功的调用了一个子类方法。
需要注意的是,完成这样操作的前提是,子类和父类中都有一个叫prints的同名方法。
不要试图使用 父类句柄 调用一个父类中不存在但是子类中存在的方法,那样编译器会报错。

向下类型转换

在上面的篇幅中已经说过,父类句柄指向子类对象,属于向上类型转换。
如果要让这个子类对象重新恢复被子类句柄指向,就要使用cast向下类型转换。
$cast(参数A:子类句柄,参数B:指向子类对象的父类句柄)
(向下类型转换成功的条件是,B的指向对象类型,和A的句柄类型 相同)
请看下例:

module test;

class dad;
	task prints;
		$display("IM DAD!");
	endtask
endclass


class son extends dad;
	task prints;
		$display("IM SON!");
	endtask
endclass

initial begin
	dad dad1;
	son son1;
	son son2;
	son1 = new();
	dad1 = son1;//父类句柄指向子类对象
	dad1.prints();
	$cast(son2,dad1);
	son2.prints();
end

endmodule

结果如下:
在这里插入图片描述

  • 3
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
$cast 是SystemVerilog中的一个内置函数,用于执行向下类型转换(downcast)。它可以将一个父类对象的句柄转换为子类对象的句柄。当源对象和目的句柄是同一类型,或者是目的句柄的扩展类时,$cast() 函数会成功执行,并返回1。否则,它会返回0,表示转换失败。 举个例子,假设有一个父类句柄bc和一个子类对象sc2,bc = sc2。如果我们使用$cast(sc1,bc),将bc的句柄转换为子类sc1的句柄,尽管bc的句柄类型是父类,但其指向的对象类型是子类。这样就实现了向下类型转换。 需要注意的是,$cast 是在运行时进行类型检查的,如果转换失败会产生运行时错误。因此,在使用$cast 进行向下类型转换时,我们需要确保源对象是父类对象或者目的句柄的扩展类,以避免运行时错误的发生。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [systemverilog-$cast向下类型转换](https://blog.csdn.net/sinat_41774721/article/details/121627876)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [[SV]$cast向下类型转换](https://blog.csdn.net/dong_learning/article/details/118273781)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

搞IC的那些年

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值