Modelsim与debussy联合仿真

Modelsim与debussy联合仿真

modelsim是很好的波形查看工具,而debussy查看代码就非常方便。两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率。

步骤如下:

step1: 首先,需要检查modelsim版本,必须是win32,win64的驱动dll在debussy5.4没法识别。这个主要是debussy比较老,verdi前身,所以也需要modelsim比较古老的win32版本。本人在此处浪费了不少时间。

假若win64,将出现:

error: (vsim-3193) Load of failed: Bad DLL format

modelsim10.1c和debussy5.4的安装方法可以参见网上的破解。

step2: 将debussy安装目录下(例如:D:\Novas\Debussy\share\PLI\modelsim_pli\WINNT)的novas.dll复制到modelsim 安装目录下的win32下

step3: 去掉modelsim.ini的只读属性(modelsim.ini在安装目录上一级,即win32文件同级目录),找到 ;Veriuser =veriuser.sl,改为Veriuser = novas.dll (注意此处要去掉最前面的;,若是vhdl语言,则novas.dll可以用novas_fli.dll)

step4: 新建环境变量:PLIOBJS = D:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll (此处是debussy安装目录,若step3用的novas_fli.dll,此处路径对应到novas_fli.dll处)

step5: 到这一步后,工具的设置就完成了。debussy与modelsim交互是通过波形文件来的,一般用fsdb文件。testbench里面添加dump波形的代码。

initial begin

    $fsdbDumpfile("your_project_name.fsdb");

    $fsdbDumpvars;

end

在modelsim中仿真,就可以生成fsdb文件。

step6: debussy打开代码后,点击波形,添加your_project_name.fsdb,就可以查看波形。


至此,所有步骤完成。


注: 上面工程与操作步骤是对于verilog编写来的,vhdl暂时没测试,可能需要另外设置。


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值