UVM中如何在monitor中调用driver的task/function

一般不会有这种情况,如果要实现,则需要在monitor中得到driver的实例,然后调用task/function。

in monitor:

      xxx_driver drv_handle;

     $cast(drv_handle, uvm_top.find("*.drv"));

      drv_handle.task();

 

uvm_top 为 uvm_root的唯一实例,参考:https://verificationacademy.com/verification-methodology-reference/uvm/docs_1.2/html/files/base/uvm_root-svh.html

https://blog.csdn.net/Holden_Liu/article/details/99183577

 

uvm_top.print_topology(); 打印出环境的例化结构。

uvm_top中也可以设置timeout时间,通过在base test中使用set_timeout(): uvm_top.set_timeout(500ns,1);方法的第一个参数是时间,第二个参数0表示不可以override,1表示可以被override。可以在继承tc中的build_phase中重新override掉。

uvm_top.find():通过实例名搜索返回句柄。

 

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

劲仔小鱼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值