UVM PHASE超时控制

两种方式设置:环境代码中设置/ VCS命令行设置

  • 环境代码中设置:       
    fucntion void base_test::build_phase(uvm_phase phase);
        super.build_phase(phase);
        uvm_top.set_timeout(2000us,1);
    endfunction
     

  •   VCS命令行设置
vcs +UVM_TIMEOUT=350000ns,NO 
  • Override说明  
  1. Override=YES(1):if "YES" -> 允许后面的TIMEOUT设置overrid(uvm_top.set_timeout())
  2. Override=NO(0):if "NO" -> 允许后面的TIMEOUT设置overrid(return)
  3. 优先级:vcs command line -> uvm_top.set_timeout()

 UVM 源码:

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值