sequence如何接收来自driver的transaction


前言

大多数情况下,验证环境都是充当host的角色,利用sequence往DUT中打激励,进而达到验证的目的。但是有些情况下,需要sequence充当device的角色,接收来自driver的transaction,进行处理后,sequence再将resp返回给driver,这种sequence被称作Reactive Sequences,本文主要记录一下Reactive Sequences的具体实现。


1、Reactive Sequences

Reactive Sequences与普通的sequence数据流完全相反,Reactive Sequences接收来自reactive driver的transaction作为request,再将处理后的transaction作为response,返回给reactive driver。
在这里插入图片描述

2、Reactive TLM Port Setup

Reactive Sequences需要构建TLM传输通道,用于传递transaction。

1、在reactive driver中,利用uvm_blocking_get_imp宏,构建get_export端口,并对get任务做具体实现。

2、在reactive sequencer中,利用uvm_blocking_get_port宏,构建get_port端口,在wait_for_req任务中,调用wait_for_grant和get_port.get任务。

3、在reactive agent的connet_phase中,将reactive driver和reactive sequencer的两个端口连接起来。
在这里插入图片描述

3、Reactive Sequence Request

reactive sequence接收request的数据流如下:

1、reactive driver中,循环get_tr()任务,获取DUT的output,收集transaction,在TLM的get任务中,则等待transaction非空,一旦收集到transaction,就将其传递到reactive sequencer中去。

2、reactive sequencer中,通过wait_for_req调用TLM的get任务,获取来自reactive driver的transaction。

3、reactive sequence中,直接调用reactive sequencer中的wait_for_req。注意,这里的p_sequencer是利用uvm_declare_p_sequencer宏来获取的。

在这里插入图片描述

4、Reactive Sequence Response

reactive sequence发送response的数据流如下:

1、reactive sequence中,直接调用p_sequencer的send_rsp任务,将resp传递给reactive sequencer。

2、reactive sequencer中,对send_rsp任务进行具体实现,先调用rsp.set_item_context(seq),将rsp传递给你seq,再调用seq.finish_item(rsp),将response传递给reactive driver。

3、reactive driver中,通过seq_item_port.get_next_item(rsp)获取来自reactive sequence的response,再通过driver_rsp(rsp)将response传递给DUT,最后调用seq_item_port.item_done()告知reactive sequence,完成response的传递。
在这里插入图片描述


总结

本文主要记录一下,sequence如何接收来自driver的transaction,以及sequence如何将resp传递给driver的方法。

引用\[1\]:虚拟的sequence。 虚拟的意思就是它根本就不发送transaction, 它只是控制其他的sequence, 起统一调度的作用 。引用\[2\]:virtual sequence:承载不同目标sequencer的sequence群落,实现sequence同步;virtual sequence一般只会挂载到virtual sequencer上,且没有自己的sequence_item,只用于控制其他的sequence执行顺序,起统一调度作用。virtual sequencer:桥接其它sequencer,即连接所有底层sequencer的句柄(指针),是一个中心化的路由器。virtual sequencer本身并不传送item数据对象,因此不需要与driver进行TLM连接。所以用户需在顶层的connect阶段做好virtual sequencer中各个sequencer句柄与sequencer实体对象的一一连接,避免句柄悬空。 问题:如何获取虚拟sequence? 回答:要获取虚拟sequence,需要创建一个virtual sequence对象,并将其挂载到virtual sequencer上。虚拟sequence不发送transaction,而是控制其他的sequence的执行顺序,起到统一调度的作用。虚拟sequence一般只会挂载到virtual sequencer上,没有自己的sequence_item。\[1\]\[2\] #### 引用[.reference_title] - *1* [virtual sequence 和 virtual sequencer start 启动流程](https://blog.csdn.net/hyykq/article/details/122848466)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [UVM——虚序列器与虚序列(virtual sequencer与virtual sequence)](https://blog.csdn.net/weixin_46022434/article/details/105869127)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [【UVM基础】虚序列器与虚序列(virtual sequencer与virtual sequence)快速上手指南](https://blog.csdn.net/ReCclay/article/details/123319463)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值