饮料售货机——状态机

设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零。

假设fen_5表示5分线,fen_10表示10分钱,out表示出饮料,remain表示找零,故状态机需要3个状态,分别为S0(0分)、S1(5分)、S2(10分)

1、FSM跳转图

 

2、verilog 代码

module vend_machine(
  input  wire 		clk,
  input  wire 		rst_n,

  input  wire 		fen_10,
  input  wire 	 	fen_5,
  
  output wire 		out,
  output wire 		remain
);

parameter DLY 0.1;
parameter S0  2'b00; //0 fen
parameter S1  2'b01; //5 fen
parameter S2  2'b10; //10 fen

reg  [1:0] 	next_state;
reg [1:0]  cur_state;

always @(posedge clk or negedge rst_n) begin
  if(~rst_n)
    cur_state <= S0;
  else
    cur_state <= #DLY next_state;
end


always @(fen_10 or fen_5 or cur_state) begin
  case(cur_state)
    S0: begin
	  if(~fen_10 & fen_5)
		next_state = S1;
	  else if(fen_10 & ~fen_5)
	    next_state = S2;
	  else
	    next_state = S0;
	end
	S1: begin
	  if(~fen_10 & fen_5)
	    next_state = S2;
	  else if(fen_10 & ~fen_5)
	    next_state = S0;
	  else
	    next_state = S1;
	end
	S2: begin
	    next_state = S0;
	end
	default: begin
	  next_state = S0;
	end
  endcase
end

always @(posedge clk or negedge rst_n) begin
  if(~rst_n) begin
    out <= 1'b0;
	remain <= 1'b0;
  end
  else begin
    if(cur_state == S0) begin
	  if(fen_10 & ~fen_5) begin
	    out <= 1'b1;
		remain <= 1'b0;
	  end
	  else begin
	    out <= 1'b0;
		remain <= 1'b0;	  
	  end
	end
	else if(cur_state == S1) begin
	  if(~fen_10 & fen_5) begin
	    out <= 1'b1;
		remain <= 1'b0;
	  end
	  else if(fen_10 & ~fen_5) begin
	    out <= 1'b1;
		remain <= 1'b1;
	  end
	  else begin
	    out <= 1'b0;
		remain <= 1'b0;	  
	  end
	end
	else if(cur_state == S2) begin
      if(~fen_10 & ~fen_5) begin
	    out <= 1'b1;
		remain <= 1'b0;
	  end
	  else begin
	    out <= 1'b1;
		remain <= 1'b1;	  
	  end
	end
	else begin
	  out <= 1'b0;
	  remain <= 1'b0;	 
	end
  end
end
endmodule

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值