自动售饮机设计 (状态机)

实验内容:

     1. 设计一个自动售饮料机的控制逻辑电路。

     该机器有一个投币口,每次只能投入1枚1元或5角的硬币。

当投入了1元5角的硬币,机器自动给出1杯饮料。当投入了2元的硬币,机器在自动给出1杯饮料时,还找回1枚5角的硬币。

    确定输入/输出变量、电路的状态并化简,做出状态转换图、状态转换表。

2. 在完成以上逻辑设计后,用VHDL编程并仿真。

自动售饮料机的控制逻辑电路设计

      取投币信号为输入逻辑变量:令A=1表示投入1枚一元硬币。B=1表示投入1枚五角硬币。

逻辑抽象    输出变量:Y=1表示给出一杯饮料。Z=1表示找回一枚五角的硬币。

输出: 所有的输出情况为

YZ = 00、YZ = 10、YZ = 11 。

系统框图:

         输出饮料              输出找零

输入:当前投入的币值,

AB = 00、金额为0

AB = 01、金额为5角;AB = 10、金额为1元。

输出:

设Z1 =1→   输出饮料;

Z2 =1→输出找零。所有的输出情况为Z1Z2 = 00、Z1Z2 = 10、Z1Z2 = 11 。

分析:

       输出饮料                       输出找零

输入:当前投入的币值,

X1X2 = 00、金额为0;X1X2 = 01、金额为5角;X1X2 = 10、金额为1元。

 

状态分析

状态转换图

所有的输出情况:

Z1Z2 = 00

  • 5
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值