基于python的uvm仿真脚本

声明:本文中的大多数代码都来源于 张强 编著的 《UVM实战》,这本书是初入职场时导师买的一本书,也引导我走上了验证工程师之路,在这里向作者表示感谢,也谢谢我的导师。作者书中提供了源代码的下载地址:http://www.hzcourse.com/web/refbook/detail/5651/229,
首先演示一个比较简单的例子:本文的仿真软件为vcs,在linux系统上运行
环境的结构如下:
在这里插入图片描述
Dut里包含一个dut.sv,具体内容如下:
在这里插入图片描述
Filelist里包含一个dut_sim.f, 内容如下:
1:加入uvm源代码的地址
2:加入验证环境的地址
3:加入设计代码的地址
在这里插入图片描述
Verif里包含了一个my_driver.sv和一个top_tb.sv
My_driver.sv内容如下

  • 3
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值