UVM入门系列(二)----VCS仿真脚本的使用

UVM入门系列(二)----VCS仿真脚本的使用

本篇博文的内容对上一博文的内容进行初步仿真。


前言

本篇博文的内容对上一博文的内容进行初步仿真。
上篇博客内容链接:
UVM入门系列(一)----通过uvm_gen脚本快速搭建一般验证平台(上)

一、rtllist.f(verf/sim)

将所有的sv v文件路径都写入rtllist.f文件中

 +incdir+../tb/tb 
  +incdir+../tb/agent/i 
  +incdir+../tb/agent/o 
  +incdir+../tb/agent/cr 
  +incdir+../tb/env/reg_model 
  +incdir+../tb/tests 
  +incdir+../tb/env
  +incdir+../testcase/seq
  +incdir+../testcase/test
  ../../dut/add.v
  ../tb/agent/i/i_agent_pkg.sv 
  ../tb/agent/i/i_agent_if.sv 
  ../testcase/seq/seq_pkg.sv  
  ../tb/agent/o/o_agent_pkg.sv 
  ../tb/agent/o/o_agent_if.sv 
  ../tb/agent/cr/cr_agent_pkg.sv 
  ../tb/agent/cr/cr_if.sv 
  ../tb/env/add_env_pkg.sv 
  ../tb/tb/add_tb.sv 
  ../testcase/test/test_pkg.sv 

+incdir指定include文件的查找路径

二、vcs编译(/verf/sim/Makefile)

comp:
	vcs -full64 -sverilog -fsdb -debug_access+all -lca -kdb -ntb_opts \
	uvm -cm line+cond+fsm  -cm_dir ${COVERAGE_DIR}/${TEST}_${SEED} \
	+nospecify  \
	-timescale=1ns/1ns \
	-f rtllist.f \
	-l comp.log \

三、仿真(/verf/sim/Makefile)

run:
	./simv +UVM_TESTNAME=${TEST} \
	+UVM_VERBOSITY=${VERB} \
	+ntb_random_seed=${SEED} \
	-cm line+cond+fsm -cm_count \
	-l ${TEST}.log 

四、查看波形(/verf/sim/Makefile)

verdi:
	verdi \
	-sv \
	-uvm \
	-f rtllist.f \
	-top ${TB} \
	-nologo \
	+UVM_TESTNAME = ${TEST} \
	-ssf sim.fsdb 

使用make verdi可以通过verdi界面查看信号波形。
在这里插入图片描述

五、查看合并覆盖率(/verf/sim/Makefile)

使用dve查看合并覆盖率。

editcov:
	urg -full64 -format both -report coverage -dir ${COVERAGE_DIR}/*.vdb \
	-dbname merged.vdb 
	dve -full64 -cov -covdir merged.vdb

make editcov可以通过dve界面查看合并后的功能覆盖率和代码覆盖率。

总结

本博文介绍了使用vcs进行仿真的Makefile脚本。

  • 10
    点赞
  • 94
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值