用matlab为modelsim生成数据源的方法

直接给程序代码:

如果modelsim需要一个数据源,这个数据源的位宽是16,那么下面的matlab程序可完成这个功能。

len=1000;

sig=int16(rand(1,len)*1000-500);

sig=sig+(sig<0)*65536;//这条语句是关键,否则对于负数的结果就不正确。

fid=fopen('sig.txt','wt');

fprintf('%04x\n',sig);

fclose(fid);


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值