ModelSim中如何指定信号的数值进制

modelsim仿真中的波形文件默认显示是二进制,而在仿真的过程中16进制的数据查看可能会更方便。

有二种方式波形文件显示的数值的进制,第一种是直接修改配置文件,第二种是使用do文件时,指定波形的显示进制

第一种方法:

可以通过修改modelsim安装目录下面的modelsim.ini文件里面的DefaultRadix 值实现

; Default radix for all windows and commands.
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
DefaultRadix = symbolic

其默认值为symbolic,如果希望得到16进制数据显示方式,将默认值修改为hex即可。

第二种方法:

在使用do文件进行仿真时,在添加信号到波形的这一步骤中,可以指定信号的显示方式

如:

add wave  -hex /pulse_out_top_inst/Act_x   //Act_x的波形数据将会以十六进制的方式显示
add wave  -decimal /pulse_out_top_inst/Act_y  //Act_y的波形数据将会以十进制的方式显示


  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值