Verilog有符号数据类型一点个人理解

本文介绍了Verilog中使用signed定义有符号数据类型,详细解释了有符号数的补码存储和运算原理。通过示例说明了-3在Verilog中的存储形式,并探讨了显示为-3、253或FD的不同表示方式,强调了signed关键字在确定数值正负中的作用。此外,还提到了8位寄存器的表示范围及其与无符号数的区别。
摘要由CSDN通过智能技术生成
在Verilog里面,可以使用有符号数据进行运算,定义时使用signed,例如
reg signed[7:0] adder; //定义了一个reg型有符号8位变量 adder
在Verilog中,数据是以补码形式存储的,正数补码还是本身,负数补码是除符号位取反加一。例如:
上边定义的adder赋值为-3,则-3的二进制为1000 0011,这个叫原码,其补码为1111 1101,adder中真实存储的是1111 1101(补码)。
在运算的过程中也是使用补码的。那为什么我们在t
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值