task的input和output理解

1 input和output和funcion是一致的;另task可以加延时语句
2 task也可以用return,用于退出task使用;

###########################################################################################
案例1
module tb;
task mytask(input [7:0] x,
input [7:0] y,
output [15:0] z);
$display(“x = %0d”, x);
$display(“y = %0d”, y);
$display(“z = %0d”, z);
z = x + y - 1;
endtask

initial begin
byte unsigned a = 3;
byte unsigned b = 3;
byte unsigned c = 3;
mytask(a,b,c);
$display(“c = %0d”, c);
end
endmodule
运行结果:
x = 3
y = 3
z = x
c = 5
################################################################################################
案例 2 加延时语句
`timescale 1ns/1ps
module tb;
task mytask(input [7:0] x,
input [7:0] y,
output [15:0] z);
$display(“x = %0d”

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值