uvm_tlm_analysis_fifo 使用

(1) uvm_tlm_analysis_fifo中的*_export,虽然名字中有export,但是本质上都是IMP.

(2) uvm_tlm_analysis_fifo内的缓冲使用system verilog中的mailbox实现;

(3)需要补充fifo的相关函数,如put,get,try_put,try_get;

 在FIFO里面实现了get函数和set函数:因此定义了对应的port可以直接调用get函数,put函数

class my_env extends uvm_env;

    //3个fifo
    uvm_tlm_analysis_fifo#(my_transaction) iagt_mdl_fifo;
    uvm_tlm_analysis_fifo#(my_transaction) oagt_scb_fifo;
    uvm_tlm_analysis_fifo#(my_transaction) mdl_scb_fifo;

endclass

function void my_env:: build_phase(uvm_phase phas

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值