AMBA AHB面试题连载(三)

5、BUSY传输能够在burst刚结束时开始么?

BUSY传输只能在未定义长度的burst传输(INCR)结束时发生,不能在固定长度burst传输(SINGLE, INCR4, WRAP4, INCR8, WRAP8, INCR16, WRAP16)的末端发生。

6、所有slaves 是否都必须支持BUSY传输类型?

是的。所有slaves 必须支持BUSY 传输类型,以确保它们与任何总线master兼容。

7、地址是否必须对齐,即使是对于IDLE传输?

是的。即使对于IDLE传输,地址也应根据传输大小(HSIZE)进行对齐,防止在仿真过程中使用的总线monitor误报错误或者警告。

8、 在一个AHB系统中可以有多少个master ?

AHB规范最多能有了16个master 。然而其中还包含一个dummy bus master,这意味着实际总线master 的最大数量实际上是15个。

9、HREADY是来自slaves的input 还是output?

AHB slaves必须同时将HREADY信号作为输入和输出。

HREADY需要作为slaves的输出,以便slaves可以扩展传输的数据阶段。

HREADY还需要作为slaves的输入,以便slaves可以确定先前选择的slaves何时完成最终的数据传输 。

每个AHB slaves应有一个HREADYOUT信号 ,该信号连接到Slave-to-Master多路复用器。这个多路复用器的输出是全局HREADYOUT信号,它被路由到AHB上的所有masters ,并作为HREADYIN反馈给所有slaves 。

  • 0
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: 在中提到,AMBA AHB协议中的wrapping burst传输指的是通过四拍传输大小为4字节的数据。举例来说,如果传输的起始地址是0x30,那么传输的四个地址分别是0x30、0x34、0x38和0x3C。尽管HBURST被设置为WRAP4,但实际上不会发生wrap,这是AMBA AHB协议允许的。 关于HPROT的默认值,根据,建议对于不需要保护信息的主设备,HPROT的默认值为HPROT = 4'b0011,即非缓存、非缓冲、特权、数据访问。 在复位过程中,AHB信号的状态应处于有效电平(逻辑“0”或逻辑“1”),不应为X态或高阻态。根据的SPEC规定,HTRANS需要为IDLE,同时需要确保系统中所有slave输出的HREADY为高,以避免死锁情况。 当master重新构建被终止的burst传输时,唯一的限制是需要使用合法的burst组合。例如,一个master执行了8拍的burst传输,但在完成3次传输后失去了对总线的控制。剩下的5次传输可以使用SINGLE burst传输、INCR4 burst传输或5拍的INCR burst传输。为了简单起见,建议master使用INCR burst传输重建剩余的传输。 关于AHB如何处理LOCKed SPLITs,根据的说明,当传输被SPLIT时,arbiter将该master从仲裁选择中移除,直到slave指示传输可以完成。在访问被LOCKed时,访问不能被另一个master中断。为了同时处理这两个需求,AHB系统会授予一个"dummy master"仲裁,在锁定传输期间只执行IDLE传输。因为将仲裁授予其他master将违反AHB LOCK相关的协议。当所有请求响应都处于SPLIT状态时,也需要使用dummy master一直发送IDLE传输。 在AMBA AHB协议中,地址总线HADDR不需要作为arbiter的输入。然而,在某些系统设计中,使用地址总线来确定在master之间切换的时间点可能会很有用。例如,arbiter可以被设计为在burst传输边界改变总线所有权。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [AMBA AHB面试连载(七)](https://blog.csdn.net/iNostory/article/details/119496654)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [AMBA AHB面试连载(六)](https://blog.csdn.net/iNostory/article/details/119467115)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [AMBA AHB面试连载(八)](https://blog.csdn.net/iNostory/article/details/119525042)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值