SystemVerilog面试题:使用SystemVerilog中的constraints实现randc行为

在SystemVerilog中,用randc关键字声明的变量是循环随机(random-cyclic)变量,在其声明范围内循环随机,直到所有的值都随机过。

例如: 声明一个2bit randc变量;

randc bit [1:0] y;

每次随机此变量时都会随机可能的范围(这种情况下为0、1、2、3),在随机到所有值之前不会重复任何值。

在SystemVerilog面试中常常被问的一个问题是如何在不使用randc变量的情况下实现这种行为?

这个问题很好地考察了应聘者对这种基础语言的掌握程度。下面是一种实现方案,原理很简单:每次生成一个值时,它都会保存在一个queue中,下一次随机为变量选择一个与现有列表中所有的值不匹配的唯一值。一旦所有值都已经循环过,那么就会删除该列表。

module test;
parameter N =10;
rand bit[N-1:0] randc_var;
 bit[N-1:0] gen_done[$];
  function automatic bit[N-1:0] get_randc();
    bit succ =0;
    while(!succ) begin
      succ =  std::randomize(randc_var) with  { unique {randc_var,gen_done};};
    end  
    //If success push to queue
    gen_done.push_back(randc_var);
    if(gen_done.size() == 2**N) begin
      gen_done.delete();
    end  
    return randc_var;
  endfunction
  initial begin
    for (int i=0; i <1000; i++) begin
      $display("randc[%0d] = %0d", i, get_randc());
     end  
   end
endmodule

上述task使用automatic的原因是使得succ为动态变量,每次都是新建一个空间并具有初始值0.

或者可以使用类中的rand变量来实现同样的约束。随机值push到队列的过程可以放到post_randomize()函数中。当然,这背后的原理其实是一样的。

class  randc_test;
  parameter N =10;
  Rand bit[N-1:0]  randc_var;
 bit[N-1:0] gen_done[$]; //queue of items done
  constraint randc_var_c {  unique {randc_var,gen_done};};
 
  function void post_randomize();
    gen_done.push_back(randc_var);
    if(gen_done.size() == 2**N) begin
      gen_done.delete();
    end  
   endfunction
 endclass
  • 2
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
在 SystemVerilog ,可以使用 constraints(约束)来实现 randc(random with count)行为。下面是一个示例,展示了如何使用 constraints 实现 randc 行为: ```systemverilog typedef enum {A, B, C} my_enum; class MyClass; randc my_enum my_value; constraint value_constraint { // 指定每个值的权重 weight = {1, 2, 3}; // 使用 randc 实现权重分布 my_value dist { [A] : weight[0], [B] : weight[1], [C] : weight[2] }; } endclass module MyModule; MyClass obj; initial begin // 创建随机值并打印 repeat (10) begin obj = new; obj.randomize(); $display("Random value: %s", obj.my_value.name()); end end endmodule ``` 在上面的示例,我们定义了一个名为 `my_enum` 的枚举类型,包含了三个值 A、B、C。然后,在 `MyClass` 类声明了一个 randc 类型的成员变量 `my_value`。 通过在 `value_constraint` 约束定义一个权重数组 `weight`,我们指定了每个值的权重。然后,使用 `dist` 语法将权重分配给每个可能的值,从而实现randc 行为。 在 `MyModule` 模块,我们创建了一个 `MyClass` 对象,并使用 `randomize()` 方法对其进行随机化。然后,我们重复执行这个过程 10 次,并打印生成的随机值。 通过使用 constraintsrandc 类型,我们可以实现从一组值随机选择的行为,并且每个值的出现次数是根据权重分布的。 请注意,randc 是 SystemVerilog 的特定功能,不是纯 Verilog 支持的。因此,在使用 randc 之前,请确保你的仿真工具支持 SystemVerilog

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值