VHDL设计Mealy状态机的模板,识别序列1100100

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY detector IS 
 PORT(clk,x: IN STD_LOGIC;
  mk: OUT STD_LOGIC);
END detector;

ARCHITECTURE xdetector OF detector IS 
 TYPE states IS(s0,s1,s2,s3,s4,s5,s6,s7);
 SIGNAL state: states;
 BEGIN
  PROCESS(x,state)
  BEGIN
   IF rising_edge(clk) THEN
    CASE state IS
     WHEN s0 => mk<='0';
      IF(x='1') THEN
       state<=s1;
      ELSE
       state<=s0;
      END IF;
     WHEN s1 => mk<='0';
      IF(x='1') THEN
       state<=s2;
      ELSE
       state<=s0;
      END IF;
     WHEN s2 => mk<='0';
      IF(x='1') THEN
       state<=s2;
      ELSE
       state<=s3;
      END IF; 
     WHEN s3 => mk<='0';
   

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值