mealy状态机序列检测器设计

设计一个序列检测器。要求检测器连续收到串行码1110后,输出检测标志1,否则输出0。
Mealy型状态机输出是由现状态和输入决定。
状态机设计步骤:
1.分析设计要求,列出全部可能状态;
2.画出状态转移图;
3.用Verilog hdl描述状态机,编写testbench验证。

`timescale 1ns / 1ps
module mealy(
        input  wire  clk,
        input  wire  rst_n,
        input  wire  A,
        output reg   K
 );
parameter S1 = 6'b00_0001;
 parameter S2 = 6'b00_0010;
 parameter S3 = 6'b00_0100;
 parameter S4 = 6'b00_1000;
 parameter S5 = 6'b01_0000;
 parameter S6 = 6'b10_0000;   
  
 reg [5:0] Curr_st;
 reg [5:0] Next_st; 
 
// always @*
//       Curr_st = Next_st;
 always @(posedge clk or negedge rst_n)
        if(rst_n == 1'b0)
               Curr_st <= S1;
        else
            case(Curr_st)
                  S1:if(A == 1'b1)
                           Curr_st <= S2;
                     else 
                           Curr_st <= S1;  
                  S2:if(A == 1'b1)
                           Curr_st <= S3;
                     else 
                           Curr_st <= S2;
                  S3:if(A == 1'b1)
                           Curr_st <= S4;
                      else 
                           Curr_st <= S1;  
                  S4:if(A == 1'b0)
                           Curr_st <= S5;
                  S5:if(A == 1'b1)
                           Curr_st <= S6;    
                      else 
                           Curr_st <= S1; 
                  S6:Curr_st <= S1;
                  default:Curr_st <= S1;
           endcase   
           
 always @(posedge clk or negedge rst_n)
     if(rst_n == 1'b0)
             K <= 1'b0;
      else if(Curr_st == S5 && A == 1'b1)
            K <= 1'b1;
      else
            K <= 1'b0 ;          
endmodule 

testbench文件

`timescale 1ns / 1ps
 module test_mealy();
        reg sclk,rst_n;
        reg a_in;
        wire k_out;
 initial begin
        sclk =0;
        rst_n=0;
        #100  rst_n=1;
end
initial begin
        #200;
        rand_bit();
 end
 
always #10 sclk <= ~sclk;
mealy   mealy_inst(
       .clk           (sclk),
       .rst_n         (rst_n),
       .A              (a_in),
       .K             (k_out)
 ); 
 task   rand_bit();
       integer i;
       begin
                for(i=0;i<255;i=i+1)
                begin
                      @(posedge sclk)
                      a_in <= {$random} %2;
                end   
       end
endtask 
endmodule

在这里插入图片描述

  • 6
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值