Verilog代码随笔001

Verilog代码随笔001

1、如何快速理清verilog代码数据流

找到模块数据输出信号data_out,通过verdi软件trace输出信号data_out,找到信号驱动源,不断的trace,不断找到驱动直到找到模块的输入数据data_in。Data_out和data_in为举例的信号名,实际代码中信号名五花八门,请以实际情况为准。

2、多个状态机模块,如何高效编写verilog代码

写代码之前先画草图,理清状态跳转图,反复推敲,确保状态跳转齐全无遗漏后再开始写代码。

  1. 确定状态机对应功能
  2. 确定每个状态机的状态跳转;
  3. 画草图,把状态机跳转用图形信号联系起来。

NOTE:

  1. 状态机的状态要齐全
  2. 跳转条件各类情况不能有遗漏
  3. 写代码之前确定好状态机状态名以及交互的信号名
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值