自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

IC小鸽的博客

教会他人是最好的学习方式

  • 博客(7)
  • 收藏
  • 关注

原创 如何快速阅读verilog代码?

如何快速阅读verilog代码?在阅读verilog代码也是有一定技巧的,有效的方式能够提高阅读速度。快速阅读分为以下几步 1、弄清楚模块作用:能够一句话描述首先要知道模块是做什么的,有什么作用,能够用一句话描述就够了。如:CRM(clock reset Management)就是提供时钟信号和复位信号的模块,为芯片内部各个模块提供时钟和复位信号。 2、弄清楚重要输入信号和输出信号要确定最重要的输...

2018-05-25 21:24:56 6407 1

原创 PCIE知识点:001:non-posted事务和posted事务

PCIE知识点:001:non-posted事务和posted事务Non-posted(非转发)事务和-posted(转发)事务都是PCIE TLP(事务层包)类型。Non-posted TLP有返回TLP,而posted事务没有返回。记忆技巧:非转发事务非要返回。本文中说的事务指的是PCIE事务层TLP。1、两者有什么区别?Non-posted事务分为两个部分,首先是发送端向接收端发...

2018-05-25 21:04:02 6247 4

原创 什么是IO Pad?

1.什么是IO pad?IO pad是一个芯片管脚处理模块,即可以将芯片管脚的信号经过处理送给芯片内部,又可以讲芯片内部输出的信号经过处理送到芯片管脚。输入信号处理包含时钟信号,复位信号等,输出信号包含观察时钟、中断等。IO pad 具有不同的类型,对应不同的信号需要不同的IO pad模块,常见的信号类型有:输入差分时钟信号,复位信号,正常数据信号、输出观察时钟信号、JTAG接口信号、正常输出信号...

2018-05-13 10:37:16 36175

原创 FIFO RAM的差异与共同点

1.FIFO与RAM的差异:FIFO  : 先入先出,先进去的数据先出来RAM : 读出的数据顺序跟写入数据顺序不一致,可以向RAM中的任意位置写入数据,也可以读取任意的位置的数据。信号的差异:以下以1R1W的RAM和异步FIFO作为案例FIFO没有写地址和读地址,只能按顺序读写数据,而RAM具有读写地址,因此可以读写任意地址FIFO RAM wclk 写时钟 wclk 写时钟 wen ...

2018-05-13 10:20:47 16468 2

原创 二进制与格雷码转换verilog实现

1、什么是格雷码?依次递增的连续格雷码只有一个比特位不一样,常用于异步FIFO的读写地址跨时钟,进行二进制格雷码间的相互转换以降低亚稳态发生概率,确保异步FIFO的功能正常。十进制 二进制 格雷码 备注 0 000 000 只有1比特变化 1 001 001 2 010 011   3 011 010   4 100 110   5 101 111   6 ...

2018-05-13 09:57:19 6179

原创 Perl脚本在数字IC设计中有哪些应用?

Perl脚本在数字IC设计中有哪些应用?1、数字IC设计中为什么要使用perl脚本?Perl脚本能够生成有规律的verilog代码,降低错误率,有效提高效率。 2、perl脚本有哪些应用?Perl脚本可以用于生成有规律的代码,如SOC地址仲裁模块verilog代码、不同规格的CRC校验verilog代码、不同规格的FIFO代码。在芯片设计过程中,所用到的FIFO数量很多,大于100多个很常见,甚至...

2018-05-09 20:50:25 5901

原创 IC设计进阶之路

IC设计进阶之路IC设计工程师的发展每个人都有不同的想法,以下是我的个人观点,欢迎大家指点更新。IC设计工程师能力提升分为三个阶段,分别是基础阶段,高效阶段,高级阶段。基础: 保质保量的完成工作高效: 高效快捷的完成工作高级: 带领团队高效完成任务1、基础阶段基础阶段是能够保质保量的完成项目工作。在基础阶段需要具备一些基础技能:例如100个设计案例EDA工具基础设计思想知识储备1.1100个设计案...

2018-05-01 15:59:32 3005

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除