如何快速阅读verilog代码?

如何快速阅读verilog代码?

在阅读verilog代码也是有一定技巧的,有效的方式能够提高阅读速度。

快速阅读分为以下几步

 

1、弄清楚模块作用:能够一句话描述

首先要知道模块是做什么的,有什么作用,能够用一句话描述就够了。

如:CRMclock reset Management)就是提供时钟信号和复位信号的模块,为芯片内部各个模块提供时钟和复位信号。

 

2、弄清楚重要输入信号和输出信号

要确定最重要的输入信号和输出信号是什么,不需要知道所有的信号,只要知道

能体现体现出模块功能的信号是什么就可以了,在此称之为关键信号。

3、了解重要信号的外部连接情况

弄清楚关键输入信号来自哪些模块以及输出信号提供给哪些模块,脑海中构建出大致的结构图。

4、构建模块内部数据流

构建模块内部数据流,关键信号经过了哪些内部模块,做了哪些处理工作,输出信号又是如何产生的。

通过:正向追踪输入信号++反向Drive输出信号  快速构建数据处理流程。

 

5、选择重点信号细看代码

完成第四布,你以及对此模块有一定的了解了。接下来,就是选择一个关键输入信号细看数据流的处理过程,记得一定要选择重要的信号,把数据流理清,然后在开始看不重要的信号。

 

  • 17
    点赞
  • 74
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值