SystemVerilog中virtual关键字常见用法

在验证工作中经常使用"virtual"关键字,下面列举该关键字应用场景。

  • 主要应用场景在virtual class,virtual interface 以及 virtual task/function。
  • OOP三大特性(封装,继承,多态)中的 多态 在SystemVerilog中一般通过 “virtual” 关键字实现。

    通过virtual声明的类,接口,任务与函数,其本身自带一些方法或者函数。后续的例化或者扩展可以对原有的内容进行增加或者修改,从而实现同一函数不同方法的多种形态。

1. virtual interface
  • 在interface定义时,如果不使用关键字 “virtual” 那么在多次调用该接口时,在其中的一个实例中对接口中某一信号的修改会影响其他实例接口;如果使用了 “virtual” 关键字,那么每个实例是独立的。
  • 习惯上在声明interface时均添加 "virtual"关键字。
2. virtual task/function
  • 用于OOP思想的继承使用。当定义了virtual时,在子类中调用某task/function时,会先查找在子类中是否定义了该 task/function,如果子类没有定义,则在父类中查找。未定义virtual时,只在子类中查找,没有定义就是编译器报错。
  • 如果某一class会被继承,则用户定义的task/function(除new(),randomized(),per_randomize(),pose_randomize()外),都应该加上virtual关键字,以备后续扩展。
3. virtual class
  • 虚类一般用来定义类的格式,、类的成员、类的参数等,虚类不能被实例化,只能被扩展(重载)后实例化,用于在项目中定义一些标准的类。

  • 虚类中的方法通常使用关键字 " pure virtual " 纯虚方法。同时OOP规定,只要class中存在一个没有被实现的pure function,就不允许例化这个class。

    virtual class (抽象类):可以被扩展但是不能被直接例化,由抽象类扩展而来的类,只有在所以的虚拟方法都有实体的时候才能被例化。
    pure virtual function(纯虚方法):没有实体的方法原型,相当于一个声明,只能在抽象类中定义。

UVM中一个显著虚类是uvm_void:里面不包含任何成员变量以及函数,是所有其他UVM类的基类。

virtual class uvm_void;
endclass

PS:有关其他细节可参考UVM Class Reference Manual 1.2以及SystemVerilog的IEEE标准

  • 48
    点赞
  • 360
    收藏
    觉得还不错? 一键收藏
  • 8
    评论
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值