在FPGA板上用Verilog实现车牌识别

一个车牌识别系统先在Matlab中实现,然后将其在FPGA Xilinx Spartan-6上使用Verilog实现。以下是FPGA上系统的测试环境。
在这里插入图片描述
图像存储器: 存储10个图像并将其转换为.dat格式(gray data)。我们使用$ readmemh(可复合)Verilog 命令,通过读取.dat文件中的gray data来初始化内存。将10个图像转换为Gray格式,并使用Matlab写入.dat文件。

车牌识别识别核心:使用 FPGA上的开关作为“启动”信号来触发此核心工作并输出车牌号。

监视LED :当“完成”信号是上升沿时,该块显示车牌号。
在这里插入图片描述
详情参阅 - 亚图跨际

评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值