FPGA中奇偶校验位产生器

奇偶校验位产生器

        输入8位的数据奇偶校验位为:

module    parity(even_bit,odd_bit,input_bus);

input [7:0] input_bus;

output even_bit,odd_bit;

assign odd_bit = ^input_bus;    //产生奇校验位

assign even_bit = ~odd_bit;    //产生偶校验位

endmodule
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值