自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1197)
  • 资源 (13)
  • 收藏
  • 关注

原创 FIR 滤波器设计

与无限持续时间脉冲响应 (IIR) 滤波器相比,具有有限持续时间脉冲响应的数字滤波器(全零或 FIR 滤波器)既有优点又有缺点。FIR 滤波器具有以下主要优点:它们可以具有精确的线性相位它们始终稳定设计方法通常是线性的它们可以在硬件中高效实现滤波器启动瞬态具有有限持续时间。。相应地,这些滤波器的延迟通常比同等性能的 IIR 滤波器大得多。滤波器设计方法说明滤波器函数加窗对指定的矩形滤波器的截断傅里叶逆变换应用加窗多频带(包含过渡带)对频率范围的子带使用等波纹或最小二乘方法。

2022-07-18 10:00:00 7787 7

转载 xilinx FPGA 固化代码流程

XilinxVIVADO固化流程 纯verilog工程,不涉及SDK代码的固化流程: 综合,实现,生成比特流后,点击Tools—Generate Memory Cinfiguration File… 如图,选择所用FLASH的类型,设置生成mcs文件名,选择生成的比特流文件,文件保存路径自动显示为如图。板子上电,连好JTAG,Open Target后,点击Add Configuration Memory Device如图,...

2022-05-23 09:34:17 2086 2

原创 MATLAB中readmatrix函数用法

readmatrix函数的功能是从文件中读取矩阵。

2020-12-25 14:08:25 24764 4

原创 QuartusII安装器件库及遇到的问题解决

最近由于学习soc FPGA,需要Cyclone V的器件,于是就打开自己安装的QuartusII 18.0版本的软件,在器件选择的时候发现没有安装这个器件,于是到Intel FPGA官网去下载器件库,这里给出下载库的地址:器件库下载地址:https://fpgasoftware.intel.com/?edition=standard打开网址之后可以根据自己的需求下载需要的所需要的器件库文件,下载器件库文件的时候一定清楚自己安装的是什么类型版本以及版本的QuartusII软件,如图示所示: ..

2020-07-03 10:53:13 24528 10

原创 Matlab中lsim函数使用

lsim函数:lsim函数是针对线性时不变模型,给定任意输入,得到任意输出。lsim函数表示任意输入函数的响应,连续系统对任意输入函数的响应可以利用lsim函数求取。语法(常用):1.分子分母形式lsim(num,den,u,t)2.传递函数形式lsim(sys,u,t)3.状态空间形式lsim(A,B,C,D,u,t)其中,u为由给定输入序列构成的矩阵,它的每列对应一个输入,每行对应一个新的时间点,其行数与时间t的长度相等,其它的用法与step函数相同。...

2020-05-22 15:16:32 79196 1

原创 数据累加输出

当下游ready_b拉高,本来由于之前ready_b为低而反压上游的ready_a立即拉高,开始接收上游数据,注意,此细节,也是体现了题目要求的数据传输无气泡。对于ready_a输出信号的产生,如果下游ready_b拉高,表示下游可以接收模块输出数据,那么此时ready_a应拉高,即本模块可以接收上游数据;当上游握手成功,将输入数据累加进寄存器;在data_out准备好,valid_b拉高时,如果下游的ready_b为低,表示下游此时不能接收本模块的数据,那么,将会拉低ready_a,以反压上游数据输入;

2024-05-23 08:45:07 444 1

原创 非整数倍数据位宽转换24to128

因为128×3=24×16128\times3=24\times16128×3=24×16,所以每输入16个有效数据,就可以产生三个完整的输出。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;实现数据位宽转换电路,实现24bit数据输入转换为128bit数据输出。其中,先到的数据应置于输出的高bit位。输入数据是24bit,输出数据是128bit。注:解题分析来源于网友,如有侵权,请告删之。,每当输入有效时,将数据从低位移入。

2024-05-22 14:10:28 276 1

原创 多功能数据处理器

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;即:有符号A +无符号B时,会将补码表示的有符号A当成无符号数A1,,再计算A1+B,这样得到的结果就是错的了。(1)涉及到有符号数运算时,和有符号相关的输入、输出、中间变量均定义成signed有符号数,这样全部遵循有符号数运算规则;这其中,如果加数中有无符号数,那么就会按照无符号运算。有符号数+有符号数=有符号数。a,b:8bit位宽的有符号数。c:9bit位宽的有符号数。

2024-05-21 11:08:11 110 1

转载 Verilog语法中+:和-:用法

1. 大端序:比较常用,如reg [31:0] value, 高位在左边,低位在右边。2. 小端序:不常用,如reg [0:31] value,低位在左边,高位在右边。1. base_expr表示起始bit。2. width_expr表示位宽。位选择等效如下代码。

2024-05-21 11:07:25 14 1

原创 使用子模块实现三输入数的大小比较

请编写一个子模块,将输入两个8bit位宽的变量data_a,data_b,并输出data_a,data_b之中较小的数。并在主模块中例化,实现输出三个8bit输入信号的最小值的功能。在数字芯片设计中,通常把完成特定功能且相对独立的代码编写成子模块,在需要的时候再在主模块中例化使用,以提高代码的可复用性和设计的层次性,方便后续的修改。本次代码使用了三个比较器完成。d:8bit位宽的无符号数,表示a,b,c中的最小值。rst_n:异步复位信号,低电平有效。a,b,c:8bit位宽的无符号数。

2024-05-20 14:04:09 149 1

转载 以太网PHY和MAC

RMII是简化的MII接口,在数据的收发上它比MII接口少了一倍的信号线,所以它一般要求是50兆的总线时钟。MII支持10兆和100兆的操作,一个接口由14根线组成,它的支持还是比较灵活的,但是有一个缺点是因为它一个端口用的信号线太多,如果一个8端口的交换机要用到112根线,16端口就要用到224根线,到 32端口的话就要用到448根线,一般按照这个接口做交换机,是不太现实的,所以现代的交换机的制作都会用到其它的一些从MII简化出来的标准,比如 RMII、SMII、GMII等。它实现了一个数据链路层。

2024-05-20 13:29:44 9 1

原创 4位数值比较器电路

某4位数值比较器的功能表如下。关于门级描述方式,需要注意的是。

2024-05-18 20:04:22 259 1

原创 我的创作纪念日

初识CSDN还是在解决一个电机震动的问题的时候,当时自己确实没有什么思路了,在使用网页进行知识搜索的时候,我无意中发现了CSDN。而且根据CSDN文章上的提示自己也很快的解决了该问题,这是一次非常不错的体验,自己也从这个论坛上学习到了很多的知识。在CSDN上的创作与工作和学习的关系可以是相辅相成、相互促进的。:将工作中的项目实践经验和技术难题解决方案分享在CSDN上,可以记录和总结自己的工作成果,同时帮助他人。:通过在CSDN上的创作和互动,获得社区反馈,解决自己的问题,同时学习他人的经验和技巧。

2024-05-18 18:02:41 828 1

转载 了解这些,就可以搞懂 IGBT

IGBT通过在单个器件中组合用于控制输入的隔离栅极FET和作为开关的双极功率晶体管,将MOSFET的简单栅极驱动特性与双极晶体管的高电流和低饱和电压能力相结合。IGBT与MOSFET一样通过电压控制端口,在N沟道型的情况下,对于发射极而言,在栅极施加正电压时,集电极-发射极导通,流过集电极电流。当向发射极施加正的集电极电压VCE,同时向发射极施加正的栅极电压VGE时,IGBT便能导通,集电极和发射极导通,集电极电流IC流过。因此,有必要在了解工作环境和关断时的最小栅极电阻值后,才进行Rg和 VGE设计。

2024-05-17 09:12:49 27 1

转载 电容公式简易推导

电容计算公式

2024-05-16 08:19:34 11 1

原创 位拆分与运算

需要考虑数据锁存的问题,一定要在sel为0的时候进行锁存,只有此时的写入才是有效的(validout的下降沿写入有效),同时存在多种情况且没有优先级问题,建议使用case语句。寄存器的位是可以分开单独运算的,并不是一个输入就一定是一个数据,在很多情况下,一个输入既包括数据又包括地址等其他有效信息。现在输入了一个压缩的16位数据,其实际上包含了四个数据[3:0][7:4][11:8][15:12],3:输出[3:0]+[15:12]2:输出[3:0]+[11:8]1:输出[3:0]+[7:4]

2024-05-16 08:18:34 310 1

转载 Hold Time违例,该如何解决

在Place Design之后再去看Hold Time,如果此时Hold Time的违例比较小(比如-0.05ns),还是不需要理会的,因为工具在布线时会修复Hold,但如果Slack太大了,无法修复了,就会牺牲setup来弥补hold。如果出现了hold的违例,我们首先要分析时序报告,看是不是clock的skew太大了,hold违例一般都是时钟的skew太大导致,如果skew太大,就要检查原因了,是不是时钟路径上有buffer导致的,或者是因为时钟跨SLR这种路径太长导致。

2024-05-15 09:08:45 17 1

转载 【笔记】PID算法原理

【代码】【笔记】PID算法原理。

2024-05-14 14:56:43 18 1

转载 VCC(电源)和 GND(地)之间电容的作用

电源输入 / 输出滤波电容,主要用于稳定输出,对稳压有利。

2024-05-14 09:30:00 16

原创 求两个数的差值

题目要求求解两个无符号数的差值,也就是将较大值减去较小值,首先需要比较输入数值的大小关系,然后选择不同的操作。对于输入数值a,b,可能存在两种情况:a>b和a≤b,符合if-else语句的逻辑,可以使用if-else语句完成功能的实现。根据输入信号a,b的大小关系,求解两个数的差值:输入信号a,b为8bit位宽的无符号数。如果a>b,则输出a-b,如果a≤b,则输出b-a。rst_n:复位信号,低电平有效。a,b:8bit位宽的无符号数。c:8bit位宽的无符号数。

2024-05-13 08:43:41 342 1

转载 C语言 指针作为函数的参数

同样的,在函数的定义上,因为我们传入的参数是 a 的地址,所以函数内对于形参 num 数据的改变会直接反映到 a 上面,也就是对 a 本身进行更改。这段代码中可以看到,调用函数时传入的参数前面多了个 &,也就是取地址符号,这就表示我们传入的参数不再是 a 的值,而是 a 的地址。因为我们传入函数的参数其实并不是真正的 a,传入的参数只是把 a 的值复制了一份,传入的只是跟 a 相等的一个数值。如果我们想要让传入的参数是 a 本身的话,就需要传入 a 的地址,而不是 a 的值,也就是指针作为函数的参数。

2024-05-13 08:40:25 15 1

原创 使用generate…for语句简化代码

在某个module中包含了很多相似的连续赋值语句,请使用generata…for语句编写代码,替代该语句,要求不能改变原module的功能。(1)generate for的循环变量必须用genvar声明,for的变量可以用reg、integer整数等多种类型声明;使用Verilog HDL实现以上功能并编写testbench验证。(3)generate for后面必须给这个循环起一个名字,for不需要;data_out:8bit位宽的无符号数。data_in:8bit位宽的无符号数。

2024-05-11 08:43:38 207 1

转载 嵌入式 C 语言中 const 的多种用法

C语言标准库中很多函数的参数都被 const 限制了,但我们在以前的编码过程中并没有注意这个问题,经常将非 const 类型的数据传递给 const 类型的形参,这样做从未引发任何副作用,原因就是上面讲到的,将非 const 类型转换为 const 类型是允许的。const 和指针结合的写法多少有点让初学者摸不着头脑,大家可以这样来记忆:const 离变量名近就是用来修饰指针变量的,离变量名远就是用来修饰指针指向的数据,如果近的和远的都有,那么就同时修饰指针变量以及它指向的数据。

2024-05-11 08:42:45 13 1

转载 各种常用总线的通信原理

▲ 图1.4.3 一个使用红外接收光电管控制继电器进行鱼食投喂电路。▲ 图1.3.2 RS-232通过电平转换芯片与MCU通讯。▲ 图1.4.1 红外控制信号也是一个串行通讯信号。▲ 图1.5.2 由八个D寄存器组成的移位寄存器。▲ 图1.6.1 PWM控制LED亮度。▲ 图1.6.2 PWM控制LED亮度。▲ 图1.2 SPI数据传输(2)▲ 图1.6.3 调幅与调频信号。▲ 图1.6.4 相位调制信号。▲ 图1 SPI 数据传输。▲ 图1.3 SPI时序信号。

2024-05-10 10:51:21 7

原创 四选一多路选择器

制作一个四选一的多路选择器,要求输出定义上为线网类型。输入信号 d1,d2,d3,d4 sel。输出信号 mux_out。

2024-05-10 09:29:51 165 1

转载 三极管的基本工作原理

⑤ 如图 2.20 ( e )所示:当对发射结施加电压在 1V 或者 1V 以上时,相当于闸门已完全打开的状态时,水龙头底部所有的水已经可以通过水嘴流出来了,此时, ec 之间的电阻值也下降为“ 0 ”,或者说很小,可以或略不计, ec 之间的电流处于饱和状态,或者说是开关的 ON 状态。(1)基极有电流流动时;在B极和E极之间不能施加电压的状态时,由于C极和E极间施加了反向电压,所以集电极的电子受电源正电压吸引而在C极和E极之间产生空间电荷区,阻碍了从发射极向集电极的电子流动,因而就没有集电极电流产生。

2024-05-09 09:34:25 28 1

转载 理解SoC时钟设计

时钟是同步数字系统的核心。SoC中的所有事件都由时钟的活动边沿控制,时钟频率通常与吞吐量和性能同义。时钟的分布是一个有趣的问题,涉及大量的设计权衡。设计人员需要了解权衡取舍,并选择满足其所有设计目标的分配方法。在这篇文章中,我们将讨论一些有助于鉴定时钟树的指标,并讨论3种最常用的时钟树分布方法。

2024-05-09 09:30:46 23 1

转载 嵌入式开发:void*在工程中的应用

对于我而言,设计软件框架时,Callout必不可少,Callout不仅能增加代码的延展性,避免后期改框架,修成"胖子",还方便移植,复用性高。如上的示意代码中,设计的模块,前后各预留一个Callout,且函数指针的入参使用void*,这样可以使得传入的参数为任何类型,极大的增加了代码的灵活性。既然是指针,就会指向一块地址,void*也不例外,但是,由于其特殊性,未有事先说明自己指向的类型,所以,在使用前,需要强制告知其指向的类型。在很多的C库函数中,void*也被广泛的使用,如下所示:​​​​​​​。

2024-05-08 08:36:23 16 1

转载 常用电机接线方式

否则,手松开按钮后,接触器又吸合,使电动机继续运行。这是因为电源电压虽被切断,但由于惯性的作用,电动机转子仍然转动,其定子绕组会产生感应电动势,一旦停止按钮很快复位,感应电动势直接加在接触器线圈上,使其再次吸合,电动机继续运转。操作时,首先按下SB1按钮,确认无误后,再同时按下加密按钮SB3,这样控制回路才能接通,KM线圈才能吸合,电动机M才能转动起来。图14(b)为带辅助绕组的接线线路,拨动开关S,可改变辅助绕组的抽头,即改变主绕组的实际承受电压,从而改变电动机的转速,此接线方法常用于电风扇上。

2024-05-07 19:38:49 28 1

转载 CAN基础知识介绍

当接收到报文时,FIFO的报文计数器会自增,而STM32内部读取FIFO数据之后,报文计数器会自减,通过状态寄存器可获知报文计数器的值,而通过前面主控制寄存器的RFLM位,可设置锁定模式,锁定模式下FIFO溢出时会丢弃新报文,非锁定模式下FIFO溢出时新报文会覆盖旧报文。例如下面的表格所示,在掩码模式时,第一个寄存器存储要筛选的ID,第二个寄存器存储掩码,掩码为1的部分表示该位必须与ID中的内容一致,筛选的结果为表中第三行的ID值,它是一组包含多个的ID值,其中x表示该位可以为1可以为0。

2024-05-07 09:54:05 24 1

转载 Verilog常用运算符及表达式

c > 1 ,表达式中最大位宽只有8bit,因此d0+ d1的中间结果也是8bit(丢掉进位后的17),这样不能起到保留最高有效位的效果。d > 1,表达式中多了一个未声明位宽的常数0,其默认位宽为32bit,这样加法的中间结果便不会丢掉进位。其使用方法如下:​​​​​​​。'b1 : 'b0;(1)如果只涉及到加法和减法,负数与表达式中最大操作数的位宽必须保持一致,如下处理:​​​​​​​。=)、大于等于(>=)、小于等于(

2024-05-07 09:52:50 174 1

转载 伺服电机原点回归方式、原理以及作用

如果不能精确计算出初始转子的位置,电机的起动转矩减弱,会出现很大震动,驱动器会检测报警,且电机有暂时反向旋转的可能。零点位置是通过程序复位控制回零或者在回零过程中感应到原点限位的时候,把当前位置值清零,表示原点或零点,一切位置都是以原点为基础,确定零点位置的时候,应先确定运动的正向和负向,以及电机的实际运动方向。3.3.2 对于旋转电机,通过检测编码器的Z相(零点)信号来进行初始定位,如果在电机初始定位时,震动范围内没有检测到z相信号,则电机找不到零点报警,需要调整电机转子位置,重新进行复位。

2024-05-06 08:59:42 146 1

转载 了解FPGA中的BRAM

RAM是Random Access Memory,也就是随机访问数据存储器,RAM的内部是一个一个小内存单元(可以看成是一个小格子)组成。每个内存单元都对应一个地址编号。当我们需要读写数据时,就访问对应的内存单元地址,很快地把数据写入或者读取出来。

2024-05-06 08:58:03 30 1

转载 变频器控制电机转速的方法

由于该极数值不是一个连续的数值(为2的倍数,例如极数为2,4,6),所以一般不适合通过改变该值来调整电机的速度。而当使用变频器时,变频器的输出电压和频率是逐渐加到电机上的,所以电机起动电流和冲击要小些。载波频率:一般变频器所标的额定电流都是以最高载波频率,最高环境温度下能保证持续输出的数值. 降低载波频率,电机的电流不会受到影响,但元器件的发热会减小。大家知道,对一个特定的电机来说,其额定电压和额定电流是不变的。通过使用磁通矢量控制的变频器,将改善电机低速时转矩的不足,甚至在低速区电机也可输出足够的转矩。

2024-04-30 08:53:22 69 1

转载 比特率?波特率?频谱带宽?1分钟搞清!

宽带网络里面提及的千兆即1000Mbit/s,一般描述的是我们家网络端口每秒最大可接收0、1比特(bit)的数量,即每秒可接收1000x106个比特。例如在200G光网络系统中,采用16QAM调制方法,编码纠错方法采用编码开销为20%的SD-FEC,波特率为32GB,。信号的波特率越高,在通信信道中传输此信号时,占用的通信信道频谱带宽就越大。偏振即利用光的偏振维度,在同一波长信道中,通过光的两个相互正交偏振态,同时传输两路独立数据信息,等于实现了双通道传输,因此可使得信号的比特率提升了一倍。

2024-04-30 08:52:24 44 1

转载 信号叠加和求差电路

因同相端接地,反相端为虚地点,即N点为零电位,R2、R3上没有电流,可以认为R2、R3 存在与否对电路无影响,即可以拿掉,等效电路就和图一(a)的基本反相比例电路相同,直接套用反相比例电路公式,得Ui1单独作用时的输出:Uo1=-Rf/R1*Ui1;当Ui2单独作用时,利用戴维南定理,将电路等效为图五(c)所示电路,其为基本同相比例电路,P点开路电压Us=R/(R+R)*Ui2=0.5Ui2;按图三反相叠加电路的结论,得该电路输出为:Uo=-(Uo1+Ui1)=Ui2-Ui1 ,实现了信号的求差运算。

2024-04-29 13:33:36 36 1

转载 5种RS485电路 自动切换方向的方法、优劣势对比

具体的 实现方式是:在空闲器件,RS_EN 为低电平,MCU处于接收状态,在准备发送数据之前,MCU会拉高RS_EN,U1处于发送状态,发送完毕之后,RS_EN重新处于低电平,U1处于接收状态。我们都知道RS485双半双工通信,其CPU内部的根源是串口通信,串口通信是区分发送TX和接收RX的,在同一对差分信号线上同时传输TX、RX,就是进行方向的控制,方向的控制时机不对,数据传送是要出问题的。简单说,这种电路,就是发送低电平时,485芯片是 发送状态,而发送高电平时,485芯片属于接收状态。

2024-04-28 15:24:11 317 1

转载 FPGA学习-FIFO深度H的计算

假设数据一直发,下游一直读,读频率小于写频率时,fc(flow control)流控后,最坏的情况下,下游不读了。如果写指针同步到rclk为M个时延,读指针同步到wclk也为M个时延,则fifo深度H=2N+3+M+M=2N+2M+3。上述场景下,当发生流控时,过冲的数据个数是N+1,当取消流控时,产生数据的时延是N+2,因此fifo的深度H=N+1+N+2=2N+3。当引入fc后,极端场景下,当达到反压水位线后,马上停止写入,有一拍的读出后,反压撤销。既要保证功能性,不溢出丢数,也要保证性能流水。

2024-04-28 15:22:49 33 1

原创 使用函数实现数据大小端转换

在数字芯片设计中,经常把实现特定功能的模块编写成函数,在需要的时候再在主模块中调用,以提高代码的复用性和提高设计的层次,分别后续的修改。C:函数function有一个返回值,缺省时默认返回1 bit的reg寄存器类型数据,任务task没有返回值;B:函数至少有一个输入变量,不能包含任何输出和双向端口,任务可以有任意多个输入、双向和输出变量;(2)任务可以描述组合逻辑和时序逻辑,可以有时延;(1)任务能调用任务和函数,但是函数只能调用函数,不能调用任务;D:函数不能启动任务,但是任务能启动其他任务或函数。

2024-04-26 13:41:29 256 2

转载 为什么串行接口速率比并行接口快?

串行传输一般就4根数据线,分成Rx两根差分线和Tx两根差分线,差分线总是往相反方向跳,可以抵消各自的跳变噪声,比如Rx的正极性发生跳变时会产生噪声,这种噪声可以被Rx的负极性以相反的跳变直接抵消掉(因为他们是差分信号对),总的噪声为0,杜绝了内部噪声。想控制也难,因为各个信号没有相关性,互相的沿变本身就是独立的,因为布线不同,很有可能一个跳的早点,另一个跳的晚点,再加上各个传输线电阻不同,噪声不同,传一会儿就分辨不出来哪个值对应哪个周期。这种速率,并行传输是根本做不到的,更不要说串行传输还能节省大量引脚。

2024-04-25 08:51:23 52 1

CRC校验模块代码生成

主要采用Verilog代码实现的CRC校验,有需要的可以进行下载。

2023-12-20

DDS信号发生器代码模块

DDS信号发生器代码模块,能够用于系统的扫频使用。

2023-12-20

Springboot框架项目实战-电商平台《伴我汽车》

项目介绍:“伴我汽车”电商平台系统是全新汽车电商平台,用于整车在网络上的活动推广、信息搜集、资讯、购车推荐等相关业务的开展。涵盖了所有移动端(H5)用户。主要功能有:首页推荐展示、线上活动、内容资讯、选车工具、商品介绍、用户中心等相关的业务。在后台对应一套相关的运营管理系统,主要功能包括:登录、用户管理、资讯管理、线上活动管理、商品管理、选车工具等相关功能。

2023-09-11

60多个经典项目珍藏版

C语言项目,里面含有各种模块可以直接使用,用于基础开发是个很不错的例子。

2023-09-11

Sync-Pulse单bit实现

采用Verilog实现单bit位跨时钟域处理,信号从快慢时钟域中转换。

2023-09-11

PWM波形的simulink仿真文件

使用simulink完成PWM仿真的实现,可以自己动手进行调试。

2023-09-11

MATLAB+估计规划+滤波+卷积

MATLAB的一些小程序,包括滑动平均、轨迹规划、卷积运算、音频分析等。

2023-09-11

PCIE的工程实现项目

PCIE的项目工程文件,有需要的可以下载。

2023-08-09

SystemVerilog验证说明

主要是SystemVerilog验证说明文档,有需要的可以自行下载看看。

2023-03-31

ZYNQ PL SFP 光口通信例

本文主要介绍说明 XQ6657Z35-EVM 高速数据处理评估板 SPF 光口通信例程的功能、使 用步骤以及各个例程的运行效果。

2023-03-21

DE1-SoC-User-manual-ref

学习DE1-SOC FPGA的资料,有需要的可以下载看看。

2023-01-29

XILINX-时序约束使用指南中文

XILINX_时序约束使用指南中文

2023-01-05

伺服电机控制代码,有需要的可以下载看看

伺服电机控制参考代码,可以学习一下。

2022-11-09

Verilog Testbench设计技巧和策略.zip

仿真文档。

2021-08-28

Modelsim仿真技巧文档.zip

FPGA仿真技巧文档。

2021-08-28

电机控制学习笔记.zip

电机控制学习的文档

2021-08-27

zdxhclysjfx.zip

振动信号的处理文档

2021-08-26

自动调节系统解析与PID整定.zip

讲述PID控制的一些方法和自调整技术

2021-08-21

PMSM_System.zip

PMSM_System.zip

2021-06-21

srqcwzfpga.zip

FPGA学习文档资料

2021-02-20

alien_invasion_python.7z

alien_invasion_python.7z

2021-01-14

观测器的运用.pdf

George Ellis大神的另外一本著作Observers in Control System - A Practical Guide-George Ellis,主要是将观测器的,有需要的可以下载看看。

2020-12-17

FPGA学习资料.pdf

FPGA基础学习资料,介绍关于FPGA的组成,FPGA仿真的内容,对入门学习FPGA的有很好的帮助。

2020-06-11

ifft_sim.rar

ifft变换,同时使用了fft变换,使用Verilog语言实现,比较实用的变换。

2019-07-09

BM3D图像去噪算法

BM3D三维块匹配算法,对于学习图像处理有比较号的效果

2016-06-16

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除