四选一多路选择器

描述

        制作一个四选一的多路选择器,要求输出定义上为线网类型。状态转移:

d0    11
d1    10
d2    01
d3    00

信号示意图如下所示:

波形示意图:

 输入描述:

        输入信号   d1,d2,d3,d4 sel
        类型 wire

输出描述:

       输出信号 mux_out
       类型  wire 

代码如下:

  • 线网类型只能使用连续赋值语句进行赋值;
  • 使用三元运算符 ?: 来实现四个信号的选择;
  • 实现思路为:首先判断sel[0]的数值,再判断sel[1]的数值,即可判断出应当选择哪一个信号;
  • 此外应当看清时序电路图中sel的数值和四个信号之间的对应关系,下表为该题目中对应关系:
`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//

assign mux_out = (sel==2'b00)?d3:((sel==2'b01)?d2:((sel == 2'b10)?d1:d0));

//*************code***********//
endmodule

采用case语句实现:

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//
    reg [1:0] mux_out_tmp;
    always@(*) begin
        case(sel)
            2'b00: mux_out_tmp = d3;
            2'b01: mux_out_tmp = d2;
            2'b10: mux_out_tmp = d1;
            2'b11: mux_out_tmp = d0;
            default: mux_out_tmp = d3;
        endcase
    end
     
    assign mux_out = mux_out_tmp;
 
//*************code***********//
endmodule

波形图为:

  • 9
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值