quartus新建工程时,top_level entity需要和顶层模块名保持一致

quartus新建工程时,top_level entity需要和顶层模块名保持一致。

不然会报错。

可以在下图中单项双击选中进行分析,比如切换到RTL Viewer中,可以看到

 

在RTL Viewer中选中可以在location node中选择chip planner中看到fpga中的具体连线。(需要先进行再布局布线(Fitter))

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值