Vivado生成Bitstream失败的解决方法

跟着实验指导书,难得的又遇到问题了,在最后生成Bitstream的时候出错了,无法生成Bitstream。

报错信息如下

[DRC NSTD-1] Unspecified I/O Standard: 4 out of 134 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: LEDs_out_0[3:0].

[DRC UCIO-1] Unconstrained Logical Port: 4 out of 134 logical ports have no user assigned specific location constraint (LOC). ... Problem ports: LEDs_out_0[3:0].

在我绝望的时候在网上搜到了解决方法,问题还是出在了XDC文件上

我是直接复制的实验指导书中的XDC文件中的内容,但是在生成ked_controller这个ip核的port的时候,生成的port的名字和实验中的并不一样。

xdc文件内容如下:

set_property PACKAGE_PIN M14            [get_ports {LEDs_out[0]}]

set_property IOSTANDARD LVCMOS33         [get_ports {LEDs_out[0]}]

set_property PACKAGE_PIN M15             [get_ports {LEDs_out[1]}]

set_property IOSTANDARD LVCMOS33         [get_ports {LEDs_out[1]}]

set_property PACKAGE_PIN G14             [get_ports {LEDs_out[2]}]

set_property IOSTANDARD LVCMOS33         [get_ports {LEDs_out[2]}]

set_property PACKAGE_PIN D18             [get_ports {LEDs_out[3]}]

set_property IOSTANDARD LVCMOS33         [get_ports {LEDs_out[3]}]

注意下我的DRC报错信息就会发现一个重要的信息

Problem ports: LEDs_out_0[3:0]

这报错的port似乎有点不对劲,和约束文件中声明的port不一样,这也是为什么报错说LEDsout0这个port unspecified的原因,因为你XDC中声明的是LEDs_out啊。

解决方法很简单,把这个port的名字改了就好,更改方法如图。

 

 

END -

 

 

 

Vivado生成MIG核失败可能由多种原因造成。以下是可能的一些原因和解决方法。 首先,检查Vivado的版本是否与MIG核的版本兼容。有时候,使用不兼容的Vivado版本可能会导致无法生成MIG核。解决方法是升级或降级Vivado到与MIG核兼容的版本。 其次,检查使用的MIG核的设置是否正确。生成MIG核时,需要正确配置选项,如时钟频率、数据位宽等。错误的配置可能会导致生成失败。确保配置选项与硬件需求匹配并尝试重新生成MIG核。 另外,确保所需的IP核已正确添加到Vivado项目。MIG核可能依赖其他IP核,如时钟管理IP核等。如果缺少依赖的IP核,生成MIG核可能会失败。在Vivado项目中确保正确添加和连接所有必要的IP核。 此外,检查硬件资源是否足够支持MIG核的生成。MIG核通常需要较大的逻辑资源和存储资源。如果硬件资源不足,生成过程可能会失败。确保FPGA器件能够满足MIG核的资源需求,或者考虑使用更适合的器件。 最后,如果以上方法均未解决问题,可以尝试清理并重新生成Vivado项目。有时候,项目缓存或临时文件可能会导致生成MIG核失败。清理项目并重新生成可以解决这些问题。 总结起来,Vivado生成MIG核失败可能源于版本不兼容、错误的配置、缺少依赖的IP核、硬件资源不足等多种原因。通过检查版本、配置、IP核和硬件资源,以及尝试清理重新生成,可以解决生成MIG核失败的问题。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值