Vivado的ila触发器详解

ILA (Integrated Logic Analyzer)集成逻辑分析器:即 Vivado 的在线逻辑分析仪,

现在我们写一段测试代码,随便一段就可以,例如下面一段代码

module top(
    input                   sysclk,
    input                   rst_n,
    input                   key1,
    input                   key2,
    output  reg     [3:0]   led
    );
    wire key_out1;
    wire key_out2;
    reg[3:0]    led_water;
    reg[3:0]    led_jump;
    reg[31:0]   timer;
	reg[31:0]	timedelay;	//计时0.5s  1s  2s   5s
	reg[2:0]	dt;	// 时间档位

这里笔者定义了两个单击按键,分别可以控制开发板上的led灯的流动样式和速度。

当key_out1键信号输出我们可以改变开发板的led灯样式,当key_out2按键信号输出可以更改led灯的速度.

然后我们点击vivado界面左边的IP Catalog

在右面弹出的界面,搜索框搜索ila,双击选择如下的IP核:

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值