#systemverilog# 关于随机约束之 约束块

本文详细介绍了SystemVerilog中的随机约束,包括约束块的语法定义、常见约束类型如外部约束块、约束继承、集合成员、分布集合以及蕴含操作等,帮助理解如何限制随机变量的取值范围和定义变量间的关系。
摘要由CSDN通过智能技术生成

随机变量的值通过由约束块声明的约束表达式来确定。像任务、函数和变量一样,随机块是类的成员。在一个类中,约束块的名字必须是唯一的。

一 语法定义

声明约束块的语法如下:

(1)constraint_identifier是约束块的名字。使用constraint_mode()(参见12.8节)方法,这个名字可以用来使能或关闭一个约束

(2)constraint_block是一个表达式语句的列表,它用来限制一个变量的取值范围或者定义变量间的关系。constraint_expression是任意SystemVerilog表达式,或者是约束专用操作符->和dist(参见12.4.4节和12.4.5节)中的一个。

约束的说明状态对约束表达式强加了下列限制:

  • 函数可以具有某种限制(参见12.4.11节)。
  • 不允许使用具有边带效应的操作符,例如++和--。
  • 在排序约束中不能指定randc变量(参见12.4.9节中的solve...before)
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值