Verilog学习笔记---(vectorgates)

按位运算符与逻辑运算符

前面,我们提到过各种布尔运算符有按位和逻辑版本(例如,诺盖特).使用向量时,两种运算符类型之间的区别变得很重要。两个 N 位向量之间的按位运算复制向量的每个位的运算并生成 N 位输出,而逻辑运算将整个向量视为布尔值(true = 非零,false = 零)并生成 1 位输出。

笔记:&和|是逻辑运算符(如果只有一位的情况下和&&以及||的结果相同),也是按位运算符。&&和||只是逻辑运算符。

out_or_bitwise是[2:0]a和[2:0]b按位与的,out_or_logical是a和b的逻辑运算。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值