二选一数据选择器2-1 MUX

这里描述一个2选1的数据选择器
Verilog HDL数据流设计2选1的数据选择器

module mux_2to1_fan(s0,in0,in1,out);
	input [1:0] s0,in0,in1;
	output [1:0] out;

    wire [1:0] in0_temp;
    wire [1:0] in1_temp;

    assign in0_temp= in0/2;
    assign in1_temp= in1%2;

	assign out=s0?in1_temp:in0_temp;
endmodule

用Verilog HDL语言编写的测试程序代码如下

module mux_2to1_fan_tb;
reg [1:0] s0,in0,in1;
wire [1:0] out;

mux_2to1_fan inst (
            .s0    (s0),
            .in0   (in0),
            .in1   (in1),
            .out   (out)
);

initial begin
	repeat (5) begin
	#0 s0=2'b00;
	#100 s0=2'b10;
	#50 s0=2'b01;
	#100 s0=2'b11;
	#100;
	end
	$finish();
end
initial begin
	in0=1'b0;
	forever begin
	#5;
	in0=~in0;
	end
end

initial begin
	in1=1'b0;
	forever begin
	#10;
	in1=~in1;
	end
end
initial begin
	$fsdbDumpfile("mux_2to1_fan");
	$fsdbDumpvars;
	$vcdpluson;
	end
endmodule

用Verdi软件打开电路图分别为:
在这里插入图片描述
在这里插入图片描述
用DVE软件打开电路图分别为:
在这里插入图片描述

  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值